Item 1. Business.
OUR COMPANY
Entegris, Inc. (“Entegris”, “the Company”, “us”, “we”, or “our”) is a leading supplier of advanced materials and process solutions for the semiconductor and other high-technology industries. Our mission is to help our customers improve their productivity, performance and technology by providing enhanced materials and process solutions for the most advanced manufacturing environments. We leverage our unique breadth of capabilities to create mission-critical microcontamination control products, specialty chemicals and advanced materials handling solutions that maximize manufacturing yields, reduce manufacturing costs and enable higher device performance for our customers.
Semiconductors, or integrated circuits, are key components in the electronic devices that have changed the way we live, communicate and work. Products and applications like smartphones, 5G wireless technology, cloud computing, the Internet of Things, machine learning and artificial intelligence, autonomous vehicles and virtual reality will increasingly require faster, more powerful and more energy efficient semiconductors and we believe will ultimately drive global chip demand.
To meet the requirements for improved chip performance and density, semiconductor manufacturing processes have rapidly become increasingly complex by moving to smaller geometries, adopting new device architectures and utilizing new and innovative materials. These complex processes require new materials of ever-increasing purity, quality and stability to improve and maximize yields. We expect to benefit from these two intersecting themes of the growing importance of process materials and materials purity, and the impact they have on semiconductor performance, cost and reliability. We believe these trends are leading to increasing materials content per wafer and filtration opportunity per wafer, which are growth opportunities for us.
Our customized materials solutions enable the highest levels of performance essential to the manufacture of semiconductors. As our customers introduce more complex architectures and search for new materials with better electrical and structural properties to improve the performance of their devices, they rely on Entegris as a trusted partner to address these challenges. We understand these challenges and have solutions to address them, such as our advanced deposition materials, implant gases, formulated cleaning chemistries and selective etch chemistries. Our customers also require greater end-to-end materials purity and integrity in their manufacturing processes that, when combined with smaller dimensions and more complex architectures, can be challenging to achieve. To enable the use of new metals and the further miniaturization of chips, and to maximize yield and increase long-term device reliability, we provide products such as our advanced liquid and gas filtration and purification products that help to selectively remove new classes of contaminants throughout the semiconductor supply chain. In addition, to ensure purity levels are maintained across the entire supply chain, from bulk manufacturing, to transportation to and delivery through a fab, to application onto the wafer, we provide high-purity packaging, fluid monitoring and materials handling products.
Our business is organized and operated in three operating segments, which align with the key elements of the advanced semiconductor manufacturing ecosystem. The Specialty Chemicals and Engineered Materials, or SCEM, segment provides high-performance and high-purity process chemistries, gases and materials, and safe and efficient delivery systems to support semiconductor and other advanced manufacturing processes. The Microcontamination Control, or MC, segment offers solutions to filter and purify critical liquid chemistries and gases used in semiconductor manufacturing processes and other high-technology industries. The Advanced Materials Handling, or AMH, segment develops solutions to monitor, protect, transport and deliver critical liquid chemistries, wafers and other substrates for a broad set of applications in the semiconductor industry, life sciences and other high-technology industries. While these segments have separate products and technical know-how, they share common business systems and processes, technology centers and strategic and technology roadmaps. With the technology, capabilities and complementary product portfolios from these three segments, we believe we are uniquely positioned to collaborate across divisions to create new, co-optimized and increasingly integrated solutions for our customers. For example, our SCEM segment offers a highly selective nitride etch chemistry, our MC segment provides a liquid filter that is specifically matched to that formulation and our AMH segment ensures the integrity of the product as it is moved to and through the fab environment.
We believe that our platform is differentiated and resilient for several reasons. First, approximately 69% of our revenue during 2021 was unit driven or recurring in nature, from products consumed as a result of the semiconductor manufacturing process. Our revenue is therefore generally more impacted by overall global semiconductor demand than the sales of semiconductor capital equipment. Second, our solutions are increasingly specified and tailored to meet our customers’ unique process conditions, and therefore switching away from our products may be costly and time consuming and may introduce risk to manufacturing yields. Third, our product portfolio is broad and not overly concentrated on any single product or product platform. As of December 31, 2021, we offered over 20,000 standard and customized products, and in 2021 no single product platform represented more than 4% of our net sales. Fourth, our customer base is diverse, and we are not overly dependent on
any one single customer. Our customers are represented across the semiconductor supply chain, from chemical manufacturers, to equipment manufacturers, to semiconductor manufacturers. During 2021, only one customer accounted for over 10% of our net sales, while our top 10 customers accounted for approximately 43% of our net sales. Lastly, we believe our strong financial profile will allow us to invest in the research and development and advanced manufacturing capabilities necessary to maintain and expand our technology leadership and to drive organic growth. As we have done in the past, we expect to expand upon our product portfolio, increase our scale and strengthen our position as a leading supplier to our customers.
In recent years, we have also sought to diversify outside of the semiconductor industry by taking advantage of our core capabilities in material science and material purity to provide critical products for the life sciences industry. During that time, we partnered with several leading companies in the life sciences industry to help guide our development efforts. In just a few short years, we brought to market our Aramus high-purity bag assemblies that are used in the production of biologics, including COVID-19 vaccines. Going forward we will seek to expand the use of these solutions into non-COVID biologics. In addition, we expect to provide ancillary solutions around our Aramus bags, and also plan to expand our filter offerings for bioprocessing applications.
PROPOSED MERGER
On December 14, 2021, we entered into a definitive Agreement and Plan of Merger, or the merger agreement, with our wholly-owned subsidiary, Yosemite Merger Sub, Inc, or merger sub, and CMC Materials, Inc., or CMC, a leading global supplier of consumable materials to semiconductor manufacturers and pipeline companies. Pursuant and subject to the terms and conditions of the merger agreement, upon completion of the transaction, merger sub will merge with and into CMC, with CMC surviving and continuing as a wholly-owned subsidiary of Entegris. At the effective time of the proposed merger, each outstanding share of common stock of CMC (with certain exceptions set forth in the merger agreement) will be converted into the right to receive $133.00 in cash and 0.4506 shares of common stock of Entegris, plus cash in lieu of any fractional shares. The transaction is subject to certain conditions, including the affirmative vote of holders of a majority of the outstanding shares of common stock of CMC approving the adoption of the merger agreement and the receipt of approvals under United States and certain foreign antitrust and competition laws. We have agreed to operate our business in the ordinary course during the period between the execution of the merger agreement and the effective time of the proposed merger, subject to specific exceptions set forth in the merger agreement, and have agreed to certain other customary restrictions on operations, as set forth in the merger agreement.
In connection with the proposed merger with CMC, we have entered into an amended and restated commitment letter with Morgan Stanley Senior Funding, Inc. and certain other financial institutions providing for a senior secured first lien term loan B facility in an aggregate principal amount of up to $4 billion and a senior unsecured bridge term loan facility in an aggregate principal amount of up to $895 million. Commitments under the bridge facility will be reduced by, among other things, the aggregate gross cash proceeds in excess of $300 million resulting from any issuance or sale by Entegris of certain securities, including senior unsecured notes or other debt securities or other indebtedness for borrowed money, equity securities and equity-linked securities.
THE SEMICONDUCTOR ECOSYSTEM
The manufacture of semiconductors requires hundreds of highly complex and sensitive manufacturing steps, during which a variety of materials are repeatedly applied to a silicon wafer to build integrated circuits on the wafer surface. We serve the semiconductor ecosystem by providing specialty materials and chemicals utilized in many process steps, offering a broad range of products to monitor, protect, transport and deliver these critical process materials during the manufacturing process and providing systems to purify liquid chemistry and gases throughout the manufacturing process. The areas of the semiconductor ecosystem that rely most heavily on our products and solutions are described below.
Etch and Resist Strip. During the etch process, specific areas of the thin film that have been deposited on the surface of a wafer are removed to leave a desired circuit pattern. After the etch process, the hardened resist needs to be completely removed, which requires the use of ultra-high purity chemicals of precise composition. In order to maintain manufacturing yields and avoid defective products, these chemicals must be maintained at very high purity levels without the presence of foreign material such as particles, ions or organic contaminants. Several of our products are utilized by semiconductor manufacturers during and after the etch process, including:
•Formulated chemical solutions to remove photoresists and post-etch residues;
•Filters and purifiers, which help to ensure the purity of formulated cleaning chemistries and to achieve desired yields in the etch processing steps; and
•Precision-engineered coatings to provide barriers to corrosive chemistries in the etch environment, protect surfaces from erosion and minimize particle generation.
In addition to being utilized throughout the etch process, semiconductor manufacturers require ultra-high purity chemicals of precise composition to clean wafers before and after several of the processes described below. Our proprietary formulated cleaning chemistries are used in these wet cleaning processes, and our liquid filters and purifiers ensure the purity of these chemicals.
Deposition. Deposition is a process during which certain materials are transferred to the surface of a wafer. Deposition processes include physical vapor deposition, or PVD, where a thin film is deposited on a wafer surface in a low-pressure gas environment; chemical vapor deposition, or CVD, where a thin film is deposited on a wafer surface by exposing it to one or more volatile precursors which react with the wafer surface; atomic-layer deposition, or ALD, where a thin film is deposited on a wafer surface by exposing it to one or more precursors which react through a series of sequential, self-limiting reactions; and electro-plating, where a metal layer, such as copper, is deposited on a wafer surface using chemical baths. We provide products that are used during each of these deposition processes that are critical to enabling new device architectures, ensuring device performance and achieving the targeted manufacturing yields of semiconductor manufacturers, including:
•Advanced precursor materials and electro-plating chemicals, which are utilized to meet the semiconductor industry’s composition, uniformity and thickness requirements of deposited films; and
•Filtration and purification products, which are used to remove contaminants during the deposition process, consequently reducing defects on wafers.
Photolithography. Photolithography is a process that uses light to print complex circuit patterns onto the wafer and is repeated many times throughout the semiconductor manufacturing process. To print the projected optical pattern, the wafer is coated with a thin film of light-sensitive material, called photoresist. Light is projected to expose the photoresist, which is then developed to create a stenciled image pattern. We offer products that semiconductor manufacturers use throughout the photolithography process, including:
•Liquid filtration and liquid packaging and dispense systems designed to ensure the pure, accurate and uniform dispense of photoresists onto the wafer, enabling manufacturers to achieve acceptable yields in the manufacturing process; and
•Gas microcontamination control systems designed to eliminate airborne contaminants that often disrupt effective photolithography processes.
Ion Implant. Ion implantation is a key technology for forming transistors and is used many times during semiconductor fabrication. During ion implantation, wafers are bombarded by a beam of electrically-charged ions which change the electrical properties of the exposed surface films. Those of our products that are used during the ion implant process include:
•Safe Delivery Source®, or SDS®, and Vacuum Actuated Cylinders, or VAC®, gas delivery systems designed to ensure the safe, effective and efficient delivery of the toxic gases necessary for the implant process; and
•Electrostatic chucks and proprietary low temperature plasma coating processes for core components, which are critical elements of ion implantation equipment.
Chemical Mechanical Planarization. Chemical mechanical planarization, or CMP, is a polishing process used by semiconductor manufacturers to planarize, or flatten, many of the layers of material that have been deposited on silicon wafers. We offer a broad range of products used by semiconductor manufacturers during and immediately following the CMP process, including:
•CMP slurry products, which are used for polishing ultra-hard surface materials, including silicon carbide, or SiC, and gallium nitride, or GaN, substrates;
•Formulated cleaning chemistries, which remove residue from wafer surfaces after the CMP process and prevent subsequent corrosion;
•Filtration and purification solutions, which are used to remove select particles and contaminants from slurries and cleaning chemistries that can cause defects on a wafer’s surface;
•Roller brushes, which are used in conjunction with our formulated cleaning chemistries to clean the wafer after completion of the CMP process in order to prepare the wafer for subsequent operations; and
•Pad conditioners, which are used to prepare the surface of the CMP polishing pad prior to every polishing cycle.
Wafer Solutions. Our wafer and reticle carriers are high-purity “micro-environments” that carry wafers between manufacturing process steps. These products protect wafers from damage or abrasion and minimize contamination during transportation and
automated processing. Front-end wafer processing can involve hundreds of steps and take several weeks. Protection of the processed wafer between steps is essential, as a single batch of fully processed 200 mm or 300 mm wafers transported in one of our products can be worth over a million dollars.
Chemical Containers. Semiconductor manufacturing and other high-technology manufacturing processes utilize large volumes of high-purity, corrosive and hazardous chemicals. We provide solutions for the handling of such chemicals, including:
•Ultra-high purity chemical container products, such as drums, flexible packaging and associated coded connection systems, which are designed to maintain chemical purity, maximize utilization and ensure safe transport, containment and dispense of valuable, ultra-clean process fluids, from bulk chemical manufacturing to point-of-use in the manufacturing process; and
•Ultra-pure valves, fittings, tubings and sensing and control products, which are used to distribute these chemicals around the fab and in wet process tools.
Other Markets. Many of the processes used to manufacture semiconductors are also used to manufacture flat panel displays, high-purity chemicals, solar cells, optical magnetic storage devices and light-emitting diodes, or LEDs, resulting in the need for similar filtration, purification, control and measurement capabilities. We seek to leverage our products, technologies, expertise and core capabilities to address these important market opportunities and pursue opportunities in certain life sciences applications.
INDUSTRY TRENDS
Emerging Applications. The market for semiconductors has grown significantly over the past few decades, and we expect this trend to continue. We believe that smartphones (including 5G), the Internet of Things and emerging applications in cloud computing, machine learning and artificial intelligence, high performance computing, autonomous vehicles and virtual reality will drive growth in the demand for semiconductors, drive wafer starts and create significant opportunities for our products. Existing applications in data processing, wireless communications, broadband infrastructure, personal computers, handheld electronic devices and other consumer electronics are also expected to drive demand for semiconductors, and in turn, our products, especially in light of the increased prevalence of work-from-home and remote learning caused by the COVID-19 pandemic. For further information about our industries, see the “Risk Factors—Risks Related to Our Business and Industry” section of this Annual Report on Form 10-K, including under the heading “The industries we serve are constantly evolving, and any failure to manage our business effectively during periods of rapid change may adversely affect our business performance and results of operations.”
Manufacturing Complexity and Architecture. The emerging applications described above require more powerful, faster and more energy-efficient semiconductors. Semiconductor architectures are changing, with transistor design increasing in complexity, the use of multilayered patterning (for example, extreme ultraviolet lithography), structures such as FinFET, 3D NAND and gate-all-around, and shrinking dimensions. These advanced architectures require more process steps, more novel materials and more sophisticated contamination control to manufacture semiconductors. We believe that demand for our materials and consumable products will benefit from the increase in process steps in lithography, deposition, CMP and etch and clean required to manufacture leading-edge semiconductors.
Additionally, new materials have played a significant role in enabling improved device performance, and we expect this trend to continue. As dimensions get smaller, more novel materials will be required to enable transistor connectivity. For example, leading-edge semiconductor manufacturers are moving towards atomic layer scale, where the precision of the manufacturing process and purity of the materials used is vital to maintain device integrity. These materials need to be supplied and delivered at ever-increasing levels of purity and control, from point-of-production to point-of-dispense on the wafer. We expect the trend for new materials supplied at high levels of purity to drive demand for our advanced materials and our products and solutions designed to purify, monitor, protect, transport and deliver critical materials. To address the challenges related to advanced technology nodes, we collaborate with our customers to develop new materials, to enhance our filtration and purification capabilities and to introduce advanced materials packaging and monitoring capabilities.
Materials Handling Solutions. To minimize the potential for damage or degradation to their materials and to protect their investment in processed wafers, our semiconductor customers have become increasingly focused on materials handling solutions that enable them to safely store, handle, process and transport critical materials throughout the manufacturing process. We believe that this trend provides opportunities for us to utilize our breadth of capabilities to provide innovative materials management, purification, wafer transport and process solutions to semiconductor customers to enable them to successfully manage this growing complexity.
Reliance on Trusted Suppliers. Our customers require that their key materials suppliers demonstrate greater capabilities and efficiencies in their processes, including sustainability, scalability, flexible manufacturing, quality control, supply chain management and the ability to effectively collaborate on solutions to problems. We have responded to these demands by deploying resources in strategic locations to enable us to align with customer requirements and drive operational excellence. For example, in 2020 we continued to invest in our laboratory in Taiwan by adding key wafer processing and analytical equipment to support clean formulation development and advanced filter evaluations. To continue to accelerate the development cycle, we have focused on building models that simulate the performance of certain of our cleaning products. In China, during 2021 we continued to invest in our manufacturing capabilities and technical applications development. In addition, during 2021 in Taiwan we started construction on a new manufacturing and enhanced technology facility, which we expect will be our largest such facility in the world, adding significant scale in a key region. We believe that, as semiconductor manufacturers require greater capabilities of their supply partners, we will be able to leverage our manufacturing, operational and technical capabilities, along with our broad technology portfolio and expanding scale, to become an increasingly important strategic supplier to our customers.
Continued Consolidation. Our customer base within the semiconductor industry has consolidated in recent years through mergers and acquisitions. As a result, the importance of maintaining and developing strong and close relationships with our customers becomes even more essential. While continuing to strengthen these relationships, we also seek to further broaden our customer base by leveraging our products, technologies, expertise and core capabilities in serving semiconductor applications to address adjacent market opportunities, including in manufacturing processes for flat panel displays, high-purity chemicals, solar cells, optical magnetic storage devices, LEDs and products for life sciences applications. For further information, see the “Risk Factors—Risks Related to Our Business and Industry” section of this Annual Report on Form 10-K under the heading “A significant portion of our sales is concentrated on a limited number of key customers and our net sales and profitability may materially decline if we lost one or more of these customers.”
OUR COMPETITIVE STRENGTHS
Strong Technology Portfolio. In the highly competitive semiconductor industry, manufacturers seek partners that are applications experts with broad technology portfolios to collaborate with from product conception to high volume manufacturing. To that end, we are committed to being able to provide our customers with innovative solutions for their manufacturing needs. For example, we have introduced sub-5 nanometer filtration products, advanced deposition materials for next generation transistor and interconnect technologies, advanced reticle pods for extreme ultra-violet, or EUV, photolithography applications, advanced 300 millimeter wafer carriers and advanced coatings to meet the rigorous demands related to the manufacturing of advanced technology nodes faced by our customers. Given the competitive nature of the semiconductor industry, we continuously seek to engage with leading logic and memory manufacturers to further advance their technology roadmaps.
To sustain our competitive advantage, in addition to being applications experts, we must develop, maintain and protect our critical intellectual property, including with patents, know-how and trade secrets. To add to our already robust patent portfolio that consisted of over 2,840 patents worldwide as of December 31, 2021, during 2021 we filed new patent applications across the globe covering inventions and technologies related to EUV, precursors, deposition materials, purification and filtration, micro-environments, delivery systems and life sciences.
Comprehensive and Diverse Product Offerings. As semiconductor manufacturers drive towards more advanced technology nodes, our customers seek suppliers that can provide a broad range of customized, reliable, flexible and cost-effective products and materials, as well as the technological and application design expertise necessary to enhance their productivity, quality and yield. We believe our comprehensive offering of materials and products creates a competitive advantage as it enables us to meet a broad range of customer needs and provide a single source of product offerings for semiconductor device and equipment manufacturers, which can often translate to shorter time-to-solution and time-to-market for our customers. Additionally, our broad product and solution portfolio allows us to serve many aspects of the semiconductor manufacturing ecosystem and to create synergies among some of our products. For example, our highly selective nitride etch formulations used in 3D NAND applications have been co-developed with specifically functionalized filter membranes designed to ensure the highest process performance. Additionally, our sensing technology detects active components in the etch chemistry and allows customers to control the bath lifetime. Further, as the semiconductor industry looks to new metals for interconnect components, for example molybdenum and ruthenium, we believe our portfolio of deposition, clean, filtration and delivery products will become even more critical to enhancing our customers’ device performance and yield.
To further strengthen and improve our product offerings, we are committed to significant investment in research and development initiatives, having spent approximately $167.6 million, $136.1 million and $121.1 million on such activities in 2021, 2020 and 2019, respectively, representing 7.3%, 7.3% and 7.6% of our net sales, in 2021, 2020 and 2019, respectively. Our research and development expenditures have been increasingly directed towards innovation for advanced technology
nodes, and in 2021, a significant portion of our research and development expenditures were focused at the leading edge. We plan to continue making substantial investments in research and development activities and expect our spending on such initiatives, as a percentage of revenue, to increase in the coming years.
Global Infrastructure. Complementing our strong technology portfolio and diverse product offerings, we have a global infrastructure of design, manufacturing, logistics, distribution, service and technical support facilities to meet the needs of our global customers. For example, during 2021 we started construction on a new manufacturing and enhanced technology facility, which we expect will be our largest such facility in the world, in Taiwan. We expect that initial commissioning operations will begin in late 2022, with production ramping thereafter. The new Taiwan facility will add to various recent and ongoing expansions of and investments in our manufacturing operations and advanced technology centers in the United States, Taiwan, Japan and South Korea designed to increase our local manufacturing capacity and to support our customers in these regions, to be even more responsive to their emerging needs and to help accelerate their development cycles and product ramps. Further, we have established or acquired new facilities in China to serve the semiconductor and life sciences industries in that country, expanded our manufacturing capacity in Malaysia and expanded our presence in Singapore to enhance our global and regional management of supply chain and manufacturing processes. Finally, we have completed a number of new capacity and technology development investments and expansions in the United States to support our customers in the life sciences industry. For more information on our advanced manufacturing capabilities, see the “—Manufacturing” section below. We service our customer relationships in Asia, North America, Europe and the Middle East predominantly via direct sales and support personnel and to a lesser extent through selected independent sales representatives and distributors.
Our expansive global presence allows us to meet our customers where they operate, which has enabled us to build strong relationships with them. Our customers include logic and memory semiconductor manufacturers, original equipment manufacturers, or OEMs, and semiconductor materials suppliers. These customer relationships provide us with significant collaboration opportunities at the early product design stage, which facilitate our ability to introduce new products and applications. For example, we work with our key customers in the development of advanced manufacturing processes to identify and respond to their requests for current and future generations of products for emerging applications requiring cleaner materials. Similarly, we also collaborate with our customers to develop systems that maintain the integrity and stability of materials during transport and throughout the manufacturing process. We believe that our customer base will continue to be an important source of new product development opportunities. Due to the specialized nature of our products, complexity of our customers’ manufacturing processes, customer qualification requirements and costs associated with re-formulation and re-qualification, we believe we have a strong position with our customers.
Operational Excellence. Our customers are increasingly focused on the effectiveness, dependability and consistency of their supply chains. We remain committed to operational excellence and are especially focused on the following priorities that we believe enable us to perform at the high level that our customers expect.
•Use of manufacturing equipment and facilities incorporating leading-edge technology, including advanced cleanroom and cleaning procedures. As our customers introduce more complex architectures and search for new materials with better electrical and structural properties to improve the performance of their devices, our equipment, technology and processes must evolve to keep pace. In response, we have invested heavily in leading-edge manufacturing facilities, which are located in strategic regions throughout the world. With our global presence, we are able to efficiently utilize our portfolio of manufacturing facilities to meet our customers’ needs quickly and efficiently.
•Implementation of automated manufacturing, quality and supply chain management systems. In recent years, we have made significant investments in quality systems to help drive automation of our processes. During this time, we have implemented standardized manufacturing systems to stress optimization of equipment effectiveness, predictive maintenance and direct labor productivity, automated quality systems that provide both process monitoring and statistical process control throughout the manufacturing process as well as predictive quality data to mitigate against potential quality deficiencies and supply chain management systems designed to ensure a reliable and responsive supply of high-quality raw materials. These systems generate large amounts of data, which we utilize to further enhance our quality, productivity and stability. Our focus on quality is evidenced by our sigma level moving from less than four in 2010 to greater than five in 2021, which represents a significant improvement in quality performance and reduction in defective parts produced. In addition, over the last several years we have earned awards from our customers for our performance and focus on continuous improvement.
•Maintaining an agile manufacturing organization. Our manufacturing workforce is capable of the rapid design and development of prototypes of new and derivative products, as well as promptly responding to customer feedback concerning prototypes so that we can quickly commercialize and ramp our production within very tight process windows required by our customers. We believe that our focus on disciplined execution and timeliness in customer interactions enables us to build even deeper connections with our customers, which in turn allows us to further understand their technical roadmaps and to help them overcome technical hurdles.
OUR BUSINESS STRATEGY
We intend to build upon our position as a leading supplier of advanced materials and process solutions for the semiconductor and other high-technology industries to expand our core business and to grow in other high value-added manufacturing process markets. Our strategy includes the following key elements.
Commitment to Technology Leadership. We seek to continuously improve our products and develop new products as our customers’ needs evolve. As semiconductor devices become smaller and more powerful and new materials and processes are deployed to produce them, we seek to expand our technological capabilities by developing advanced products that address our customers’ requirements for greater purification, protection and transport of high value-added materials and by developing advanced materials for use in critical fabrication processes.
Leveraging Our Expertise. We leverage our broad expertise across our portfolio of advanced materials, materials handling and purification capabilities to create innovative and new solutions to address unmet customer needs. For example, certain of our formulated cleaning chemistry products are developed and manufactured by our SCEM segment, with collaboration from our MC segment, packaged with our ultra-clean container and connector system made by our AMH segment, and delivered to the process tools through fluid handling systems also made by our AMH segment. Furthermore, in process tools, these chemistries may go through one or several purification systems produced by our MC segment to eliminate particles and contaminants. Similarly, our advanced deposition materials business requires comprehensive capabilities across a number of disciplines, including the synthetization of unique molecules, specialized knowledge of how to purify these materials and the capability to safely transport and deliver them onto the wafer at a high throughput. We seek to utilize our expertise in areas of strategic and increasing importance to semiconductor manufacturers, such as developing advanced materials and ensuring the purity of high-value materials, and our ability to work collaboratively across our three segments enables us to quickly and effectively develop optimized and complementary solutions for our customers.
Operational Excellence. Our strategy is to continue to develop our advanced manufacturing capabilities into a competitive advantage with our customers by conducting our manufacturing operations in a manner that ensures the safety of our employees and of the individuals using our products and by continuing to focus on the other priorities noted in the “—Our Competitive Strengths—Operational Excellence” section above.
Continued Focus on Customers. We view the strong relationships we have with our customers, which include leading logic and memory semiconductor manufacturers, OEMs and semiconductor materials suppliers, as critical to our long-term success. We intend to reinforce and further strengthen these relationships through, among other things, collaborations and joint development. Customer intimacy enables us to respond rapidly and thoroughly to their manufacturing challenges and enables us to bring forth new products that serve existing needs.
Safety. A core component of our strategy is our intense focus on the safety of our employees and of the individuals using our products. With respect to employee safety, we have put in place proactive programs designed to build a culture of safety in our facilities. And while the well-being of our employees is always at the top of our mind, we also design our products with the safety of the people who are using them in mind. To illustrate, our Aramus high-purity bags for biologics are designed to withstand cryogenic temperatures and to not break down in extremely cold environments. By reducing ruptures during transportation, our bags enable the effective distribution of vaccines, including those that may be used in the battle against the COVID-19 pandemic, which may allow quicker access to a vaccine when compared to the use of traditional technologies. Also, our Safe Delivery Source products are designed to minimize potential leaks during transportation and use of hazardous gases, features which provide significant safety, environmental and productivity benefits over traditional high-pressure cylinders.
Corporate Social Responsibility. We are embedding our corporate social responsibility program into our business strategy. Our program is built around the four core pillars of Innovation, Safety, Personal Development and Inclusion and Sustainability. The program includes goals, which are aligned to each of the four pillars, to guide it towards 2030. During 2021, we released our first annual corporate social responsibility report providing a comprehensive overview of our progress toward reaching our 2030 goals and outlining our baseline performance in 2020 across each of the four pillars. The 2020 annual corporate social responsibility report is published on our website at http://www.Entegris.com under “About Us - Corporate Social Responsibility.”
Adjacent Markets. We leverage the expertise that we have gained from serving the semiconductor industry to develop products for other industries that employ similar technologies and production processes and that utilize materials integrity management, high-purity fluids and integrated dispense systems. For example, outside of the semiconductor industry our products are used in manufacturing processes for biologics, flat panel displays, high-purity chemicals, solar cells, optical magnetic storage devices, LEDs and products for other life sciences and aerospace applications. We plan to continue to identify and develop products that address needs in adjacent markets. We believe that by utilizing our unique technical capabilities in advanced materials and contamination control and core manufacturing excellence to provide solutions across multiple industries, we are able to increase the total available market for our products and increase our return on R&D investments.
Strategic Acquisitions, Partnerships and Related Transactions. We will continue to pursue strategic acquisitions and business partnerships that enable us to address gaps in our product offerings, secure new customers, diversify into complementary product markets, broaden our technological capabilities and product offerings, access local or regional markets and achieve benefits of increased scale. For example, we strengthened and broadened our specialty chemicals and engineered materials product offerings while also addressing gaps in our portfolio when we acquired BASF’s precision microchemicals business in 2021 and Sinmat, Inc., or Sinmat, in 2020 (CMP slurries in hard substrate applications) and Digital Specialty Chemicals, or DSC, and MPD Chemicals, or MPD, in 2019 (specialty chemicals). Similarly, we made several targeted acquisitions to diversify and expand our filtration and purification portfolio with the acquisitions of Hangzhou Anow Microfiltration Co., Ltd., or Anow, in 2019, the SAES Pure Gas business from SAES Getters S.p.A. in 2018, and the water and chemical filtration product line for microelectronics applications from W. L. Gore & Associates, Inc. in 2017. Finally, we added a suite of analytical instruments utilized in chemistry management and monitoring in semiconductor manufacturing processes to our product portfolio with our acquisitions of Global Measurement Technologies, Inc. in 2020 and Particle Sizing Systems, LLC in 2018. Our 2014 acquisition of ATMI, Inc., or ATMI, serves as an example of a strategic transaction that significantly increased our scale, as the acquisition brought a whole new portfolio of technologies and materials products to serve our semiconductor customers. Similarly, our proposed acquisition of CMC would significantly increase our scale and broaden our product and technology portfolio. Further, as the dynamics of the markets that we serve shift, we will reevaluate our existing businesses and may decide to restructure or replace one or more businesses, such as the sale of our small cleaning business in France in 2018 and exiting our small cleaning business in Taiwan in 2020. Finally, we regularly evaluate opportunities for strategic alliances, such as our strategic alliance with Enthone, joint development programs and collaborative marketing efforts with key customers and other industry leaders. For example, we have agreements with local partners to expand our capability to manufacture certain specialty chemical and deposition products locally and shorten our supply chain for our customers in China.
OUR SEGMENTS
Our business is organized and operated in three segments which align with the key elements of the advanced semiconductor manufacturing ecosystem: Specialty Chemicals and Engineered Materials, or SCEM; Microcontamination Control, or MC; and Advanced Materials Handling, or AMH. We leverage our expertise from these three segments to create new and increasingly integrated solutions for our customers. The following is a detailed description of our three segments.
SPECIALTY CHEMICALS AND ENGINEERED MATERIALS SEGMENT
The SCEM segment provides high-performance and high-purity process chemistries, gases and materials that enhance our customers’ product performance. These materials are utilized in critical semiconductor manufacturing processes such as deposition, cleaning and integration of complex process materials. Advanced materials, delivered at high purity, are critical to enabling the performance of leading-edge logic and memory applications. We believe the growing demand in the advanced logic and memory market, challenges with metallization schemes and the need for specialized cleaning solutions will drive demand in our SCEM segment. In conjunction with products from our MC and AMH segments, the materials that our SCEM segment produces provide unique solutions to safely and efficiently deliver critical materials to support semiconductor and other advanced manufacturing processes. In addition, certain of the materials that our SCEM segment provides allow for enhanced product performance for our customers in aerospace, medical, pharmaceuticals and other high-technology intensive material segments.
Specialty Gas Products. Our specialty gas solutions provide advanced safety and process capabilities to semiconductor, display and solar panel manufacturers. Our SDS cylinders store and deliver hazardous gases, such as arsine, phosphine, germanium tetrafluoride and boron trifluoride, at sub-atmospheric pressure through the use of our proprietary carbon-based adsorbent materials. These products minimize potential leaks during transportation and use and allow more gas to be stored in the cylinder, features which provide significant safety, environmental and productivity benefits over traditional high-pressure cylinders. New generations of SDS products further increase the gas storage capacity, reducing tool down time and thereby generating significant cost savings for our customers. We also offer VAC, a complementary technology to SDS, where select implant gases and gas mixtures are stored under high pressure but are delivered sub-atmospherically.
Specialty Materials Products. Our specialty materials include specialized graphite, silicon carbide and a variety of unique, high purity coatings for dry or plasma etch, chemical vapor deposition and ion implant applications. Our POCO® premium graphite is used to make precision consumable electrodes for electrical discharge machining, hot glass contact materials for glass product manufacturing and forming and other consumable products for various industrial applications, including aerospace, optical, medical devices, air bearings and printing. Our high-performance specialty coatings, such as our Pegasus™ and Cearus™ coatings, provide erosion resistance, minimize particle generation and prevent contamination on critical components in semiconductor environments and other high-technology manufacturing operations. Our specialty materials provide customized solutions for applications challenged with unique temperature, corrosive, chemical or process
environments, such as electrostatic chucks used to hold wafers during processing, plasma etch chamber components, aircraft bearings and ultrasonic transducers.
Advanced Deposition Materials Products. Our advanced deposition materials include advanced liquid, gaseous and solid precursors that are incorporated in CVD and ALD processes by the semiconductor industry, including organometallic precursors for the deposition of tungsten, titanium, cobalt and aluminum containing films and organosilane precursors for the deposition of silicon oxide and silicon nitride films. These precursors are designed in close collaboration with OEM process tool manufacturers and device makers to produce application specific solutions that are compatible with complex integrations of material solutions used to build the semiconductor device. We offer delivery systems and containers that allow for reliable storage and delivery of low volatility solid and liquid precursors required in ALD processes. When combined with our proprietary corrosion-resistant coatings and filtration solutions from our MC segment, our advanced deposition materials enable the industry’s highest purity levels, resulting in improved device performance.
Surface Preparation and Integration Products. We offer a range of materials used to prepare the surface of a semiconductor wafer during the manufacturing process and to integrate with materials being used on the wafer. We offer a broad range of cleaning solutions for applications such as semiconductor post-etch residue removal, wafer etching, organics removal, negative resist removal, edge bead removal and corrosion prevention. Our wet chemistry solutions, combined with filtration solutions from our MC segment and fluid handling solutions from our AMH segment, provide enhanced purity, which results in improvements in our customers’ processes. Our consumable polyvinyl alcohol roller brush products are used to clean the wafer following the CMP process, and our pad conditioners, based on our silicon carbide capabilities, lengthen CMP pad life. Through the acquisitions of BASF’s precision microchemicals business and Sinmat, we now offer slurry products used for polishing ultra-hard surface materials, including SiC and GaN substrates, which are utilized in the power electronics and advanced communications end-markets. We also provide advanced plating solutions, such as our Viaform® product (a trademark of and exclusively licensed from Element Solutions, Inc.), which includes inorganic and proprietary organic molecules that provide the wiring for copper interconnects.
Specialty Chemicals. Our specialty chemicals include advanced liquid and solid materials, which are used in a range of high-performance material applications ranging from medical devices to materials used in semiconductor applications. Our product solutions include organometallic and organosilane materials used in semiconductor device manufacturing, monomers and polymers used in the manufacture of medical devices, polyolefin catalysts used in the manufacture of polyethene and polypropylene, chromic materials used in security dyes and inks, isotopic ally labeled materials used in clinical diagnostics and a range of materials used in the manufacture of pharmaceutical ingredients. In addition, our specialty chemicals business provides materials to a number of our other businesses to enable advanced performance of final product solutions.
MICROCONTAMINATION CONTROL SEGMENT
The MC segment offers solutions to purify critical liquid chemistries and process gases used in semiconductor manufacturing processes and other high-technology industries. The design and performance of our liquid and gas filtration and purification products are important to the semiconductor manufacturing process because they remove contamination, directly reduce defects, improve manufacturing yield and enhance the long-term reliability of the semiconductor device. Our proprietary filters remove organic and inorganic nanometer-sized contaminants from various fluids and gases used in the manufacturing process, including photolithography, deposition, planarization and surface etching and cleaning. As our customers leverage leading-edge lithography tools and multi-patterning technology to enable each subsequent generation of products, our filtration and purification products are utilized to achieve necessary levels of purity and contamination control. We believe demand for purification and filtration products is being driven by the continuous node shrink in logic semiconductors and the ramp in the 3D NAND market, as the risk of yield loss grows with the incremental manufacturing steps needed for the production of these devices. We utilize expertise from the AMH segment in polymer science and from the SCEM segment in formulated cleaning chemistries to develop differentiated filtration and purification solutions for our customers.
Liquid Microcontamination Control Products. We offer a variety of products that control contaminants in our customers’ wet processes. For example, our Torrento® series of filters is used for the filtration of aggressive acid and base chemistries for both semiconductor fabs as well as specialty chemical manufacturers, including our SCEM segment. Manufacturers of high purity chemicals and semiconductor fabs use our Trinzik® and Microgard™ products for the filtration of chemicals and ultra-pure water. Our Impact® series of filters are used in point-of-use photochemical dispense applications, including those provided by our AMH segment, where the delivery of superior flow rate performance and reduced microbubble formation is critical. Our Protego® series of liquid purifier/filter products are used to reduce metallic contamination in chemical manufacturing and in critical wafer rinsing and drying applications by our customers. In addition, we provide membrane and liquid filtration offerings serving semiconductor, pharmaceutical and medical applications.
Gas Microcontamination Control Products. We offer a broad portfolio of products designed to remove particulate and molecular contaminants from controlled environments and gas streams in semiconductor, flat panel display and LED fabs. Our
Wafergard® gas filters reduce outgassing and remove particle contamination. Our GateKeeper® gas purifiers and large facility-wide gas purification systems provide continuous purified gas supply to customer fabs from the point of creation on the gas pads to the point-of-use at the wafer by chemically reacting and absorbing contaminants, effectively removing gaseous contaminants down to part-per-trillion levels. Our Chambergard™ gas diffusers provide semiconductor equipment manufacturers with the capability to rapidly vent their tools to atmosphere to dramatically reduce process cycle times without adding particles to the wafers. In addition, our Vaporsorb products are used to eliminate airborne molecular contamination from critical process tool areas or cleanrooms in the fab. These products are used in or alongside critical processing tools to improve yield and reduce tool downtime.
ADVANCED MATERIALS HANDLING SEGMENT
The AMH segment develops solutions to monitor, protect, transport and deliver critical liquid chemistries, wafers and substrates for a broad set of applications in the semiconductor and other high-technology industries. These systems and products improve our customers’ yields by protecting wafers from abrasion, degradation and contamination during manufacturing and transportation and by assuring the consistent, clean and safe delivery of advanced chemicals from the chemical manufacturer to the point-of-use in the semiconductor fab. The AMH segment collaborates closely with our SCEM segment in developing products that are compatible with advanced chemistries to enhance yields and integrates liquid filtration technology from our MC segment to deliver consistent and pure chemistry.
Microenvironment Solutions. We lead the market with our high-volume line of Ultrapak® and Crystalpak® products for wafers ranging from 100 to 200 millimeter, which ensure the clean and secure transport of wafers from the wafer manufacturers to the semiconductor fabs. We also offer a front-opening shipping box, or FOSB, for the transportation and automated interface of 300 millimeter wafers. We lead the market for 300 millimeter front-opening unified pods, or FOUPs, wafer transport and process carriers and standard mechanical interface pods, or SMIF pods, for 200 millimeter wafer applications. These microenvironment products safely and accurately deliver wafers within the semiconductor fab environment to the various process fabrication steps. We are a leader in reticle protection products for photolithography, including products that protect the high-value EUV lithography masks during both the mask manufacturing process and their use in the semiconductor fab.
Fluid Management Products. We offer various fluid management products that cover a range of applications, including liquid packaging, fluid handling and process monitoring products. Our broad portfolio of flexible and rigid polymer packaging and container products, from low-volume containers to transport high-value photoresist chemistries, such as our NOWPak® products, to large intermediate bulk containers allow our customers to safely and efficiently transport chemicals in bulk, such as our FluoroPure® products. Our connection systems provide safe and efficient chemical dispense from the container to the fab. Chemical companies utilize our packaging products to ensure the purity of chemistries shipped to semiconductor fabs, resulting in enhanced yields.
In addition, we are a leader in high-purity fluid handling products such as valves, fittings, tubing, pipe, custom fabricated products and associated connection systems, such as our PrimeLock® connections, for high-purity chemical applications and our proprietary digital flow control technology improving the uniformity of chemicals applied on wafers. Our IntelliGen® integrated, high-precision liquid dispense systems enable the uniform application of advanced chemistries during the wafer fabrication process, integrating our valve control expertise with filter device technologies from our MC segment, in order to conserve high-value chemistry and reduce defects on wafers. Our comprehensive product lines provide our customers with a single-source provider for their high-purity chemical management needs throughout the manufacturing process.
Further, we provide market-leading instrumentation solutions to ensure consistency of complex blended chemistries and CMP slurries. For example, our Single Particle Optical Sizing technology accurately determines particle size and counts. We also produce on-tool process monitoring systems that perform automated online particle size and/or counts analysis of suspensions with the Accusizer® system. These applications include real-time monitoring of CMP slurries and other instrumentation for liquid applications in both semiconductor and life science industries. Our SemiChem® systems and Invue® products measure chemical concentration in CMP slurries and formulated cleaning chemistries. These process instruments provide our customers critical process monitoring to enable improved semiconductor device yields.
OUR CUSTOMERS AND MARKETS
Our most significant customers include logic and memory semiconductor device manufacturers, semiconductor equipment makers, gas and chemical manufacturing companies and wafer grower companies serving the global semiconductor industry. We also sell our products to flat panel display equipment makers, panel manufacturers, manufacturers of hard disk drive components and devices and their related ecosystems.
Our other high-technology markets include manufacturers and suppliers in the solar and life science industries, electrical discharge machining customers, glass and glass container manufacturers, aerospace manufacturers and manufacturers of biomedical implantation devices.
In 2021, 2020 and 2019, net sales to our top ten customers accounted for 43%, 46% and 43%, respectively, of our combined net sales. In 2021, 2020 and 2019, Taiwan Semiconductor Manufacturing Company Limited, accounted for $272 million, $208 million and $187 million of our net sales, respectively, or approximately 12%, 11% and 12% of our net sales, respectively, including sales from each of our three reporting segments. In addition, in 2021, 2020 and 2019, Samsung Electronics Co. accounted for $199 million, $172 million and $128 million of our net sales, respectively, or approximately 9%, 9% and 8% of our net sales, respectively, including sales from all of the Company’s segments. International net sales represented approximately 77%, 75% and 76%, respectively, of our total net sales in 2021, 2020 and 2019.
We may enter into supply agreements with our customers. These agreements generally have a term of one to three years, but typically do not contain any long-term purchase commitments. Instead, we work closely with our customers to develop non-binding forecasts of the future volume of orders. However, customers may cancel their orders, change production quantities from forecasted volumes or delay production for reasons beyond our control.
SALES, MARKETING AND SUPPORT
We sell our products worldwide, primarily through our direct sales force and strategic independent distributors located in all major semiconductor markets. Independent distributors are also used in other market territories and for specific market segments. As of December 31, 2021, our sales and marketing force consisted of approximately 630 employees worldwide.
Our unique capabilities and long-standing industry relationships have provided us with the opportunity for significant collaboration with our customers at the product design stage, which has facilitated our ability to introduce new materials and new solutions that meet our customers’ needs. We are constantly seeking to identify for our customers a variety of materials, contamination and process control challenges that may be addressed by our product solutions. Our sales representatives provide our customers with worldwide technical support and information about our products and materials.
We believe that our technical support services are important to our sales and marketing efforts. These services include assisting in defining a customer’s needs, evaluating alternative products and materials, designing a specific system to perform the desired operation, training users and assisting customers in compliance with relevant government regulations. Additionally, our field application engineers, located in all of the major markets we serve, work directly with our customers on product qualification and process improvements in their facilities. We maintain a network of service centers, applications laboratories and technology centers located in all key markets internationally and in the United States to support our products and our customers with their advanced development needs, provide local technical service, application support and ensure fast turnaround time.
COMPETITION
The market for our products is highly competitive. While price is an important factor, we compete primarily on the basis of the following factors:
|
|
|
|
|
|
technical expertise;
|
breadth of product line;
|
product quality and performance;
|
breadth of geographic presence;
|
advanced manufacturing capabilities;
|
customer service and support; and
|
total cost of ownership;
|
after-sales service.
|
historical customer relationships;
|
|
We believe that we compete favorably with respect to the factors listed above. We believe that our key competitive strengths include our broad product line, our strong research and development infrastructure and investment, our manufacturing excellence, our advanced quality control systems, the low total cost of ownership of our products, our ability to provide our customers with quick order fulfillment and our applications expertise in semiconductor manufacturing processes. However, our competitive position varies depending on the market segment and specific product areas within these segments. While we have longstanding relationships with a number of semiconductor and other electronic device manufacturers, we still face significant competition from companies that also have longstanding relationships with other semiconductor and electronic device manufacturers and, as a result, have been able to have their products specified by those customers for use in manufacturers’ fabrication facilities.
The competitive landscape is varied, ranging from large multinational companies to small regional or regionally-focused companies. While product quality and technology remain critical, overall, industry trends are indicating a shift to localized, cost-competitive and consolidated supply chains.
Because of the unique breadth of our capabilities, we believe that there are no global competitors that compete with us across the full range of our product offerings. Many of our competitors are local companies that participate in only a few products or in specific geographies. While there are other larger, broad-based materials suppliers, many are concentrated in specific product areas, such as filtration, specialty chemicals or materials handling. Notable competitors with respect to certain specific product
areas include Pall Corporation (part of Danaher Corporation), Shin-Etsu Polymer Co., Ltd., Gemu Valves, Inc., Tokyo Keiso Co., Ltd., Mersen, the EMD Performance Materials division of Merck KGaA, E. I. du Pont de Nemours and Company, Air Liquide, Praxair, Inc. (a subsidiary of Linde plc.), Donaldson Company, Inc. and Parker Hannifin Corp.
ENGINEERING, RESEARCH AND DEVELOPMENT
We believe that technology is important to the success of our businesses, and we plan to continue to devote significant resources to engineering, research and development, or ER&D, balancing efforts between shorter-term market needs and longer-term investments. As of December 31, 2021, we had approximately 1,050 employees in ER&D. We have supplemented and may continue to supplement our internal research and development efforts by licensing technology from third parties and/or acquiring rights with respect to products incorporating externally owned technologies. Our R&D expenses consist of personnel and other direct and indirect costs for internally funded project development, including the use of outside service providers.
We believe we have a rich pipeline of development projects. Our ER&D efforts are directed toward developing and improving our technology platforms for semiconductor and advanced processing applications and identifying and developing products for new applications, often working directly with our customers to address their particular needs.
We have ER&D capabilities in the United States, Canada, China, Japan, South Korea, Taiwan, Singapore and Malaysia to meet the global needs of our customers. We use sophisticated methodologies to research, develop and characterize our materials and products. Our capabilities to test and characterize our materials and products are focused on continuously reducing risks and threats to the integrity of the critical materials that our customers use in their manufacturing processes.
In addition, we collaborate with leading universities and industry consortia, such as the University of California, Yale University, Pennsylvania State University, the Interuniversity Microelectronics Center (imec®) and CEA-LETI. We undertake this work to extend the reach of our internal R&D and to gain access to leadership ideas and concepts beyond the time horizon of our internal development activities.
PATENTS AND OTHER INTELLECTUAL PROPERTY RIGHTS
As of December 31, 2021, we owned approximately 2,840 active patents worldwide, of which about 630 were United States patents. Additionally, we owned about 1,300 pending patent applications globally. In addition, we license certain patents owned by third parties. We rely on a combination of patent, copyright, trademark and trade secret laws and license agreements to establish and protect our proprietary rights. We seek to refresh our intellectual property on an ongoing basis through continued innovation. While we license and expect to continue to license technology used in the manufacture and distribution of products from third parties, we do not consider any particular patent or license to be material to our business.
We vigorously protect and defend our intellectual property. We require each of our employees, including our executive officers, to enter into agreements with us pursuant to which the employee agrees to keep our proprietary information confidential and to assign to us inventions made during the course of employment. We also require outside scientific collaborators, sponsored researchers and other advisors and consultants who are provided confidential information to execute confidentiality agreements with us. These agreements generally provide that all confidential information developed or made known to the entity or individual during the course of the entity’s or individual’s relationship with the Company is to be kept confidential and not disclosed to third parties except in specific limited circumstances.
MANUFACTURING
Our customers rely on our products and materials to ensure the integrity of the critical materials used in their manufacturing processes by providing purity, cleanliness, consistent performance, dimensional precision and stability. Our ability to meet our customers’ expectations, combined with our substantial investments in worldwide manufacturing capacity, position us well to respond to the increasing demands from our customers for yield-enhancing materials and solutions.
To meet our customers’ needs worldwide, we have established an extensive global manufacturing network with facilities in the United States, Canada, Japan, Taiwan, Malaysia, South Korea and China. Because we work in an industry where contamination control is paramount, we maintain Class 100 to Class 10,000 cleanrooms for manufacturing and assembly. We believe that our
worldwide advanced manufacturing capabilities are important competitive advantages. These include:
|
|
|
|
|
|
engineered polymer conversion and processing;
|
specialty coating capabilities;
|
advanced membrane modification and cleaning;
|
solids and powders compounding and handling;
|
chemical distillation, synthesis and purification;
|
graphite synthesis;
|
gas delivery systems;
|
blow molding;
|
high-purity gas handling and transfilling;
|
rotational molding;
|
high-purity materials packaging;
|
machining; and
|
membrane casting;
|
assembly.
|
cartridge manufacturing and assembly;
|
|
We have made significant investments in systems and equipment to create innovative products and tool designs, including metrology and 3D printing capabilities for rapid analysis and prototype production. In addition, we use contract manufacturers for certain of our products both in the United States and Asia.
RAW MATERIALS
Our products are made from a wide variety of raw materials that are generally available from multiple sources of supply. While we seek to have several sources of supply for raw materials, certain materials included in our products, such as certain filtration membranes in our MC segment, petroleum coke and specialty and commodity chemicals in our SCEM segment and certain polymer resins in our AMH segment, are obtained from a single source or a limited group of suppliers or from suppliers in a single country. We have entered into multi-year supply agreements with a number of suppliers for the purchase of raw materials in the interest of supply assurance and to control costs.
GOVERNMENTAL REGULATION
Our operations are subject to federal, state and local regulatory requirements relating to environmental, waste management and health and safety matters, including measures relating to the release, use, storage, treatment, transportation, discharge, disposal and remediation of contaminants, hazardous substances and wastes, as well as practices and procedures applicable to the construction and operation of our plants. Although some risk of costs and liabilities related to these matters is inherent in our business, as with many similar businesses, we believe that our business is operated in substantial compliance with applicable regulations. However, new, modified or more stringent requirements or enforcement policies could be adopted, which could adversely affect us. While we expect that capital expenditures will be necessary to ensure that any new manufacturing facility is in compliance with environmental and health and safety laws, we do not expect these expenditures to be material.
HUMAN CAPITAL RESOURCES
We believe that our employees are a critical asset in achieving our mission of helping our customers improve their productivity, performance and technology by providing enhanced materials and process solutions for the most advanced manufacturing environments. In order to attract and retain top talent, we are focused on creating a diverse, inclusive and safe workplace and are committed to providing competitive total rewards and quality development and training opportunities for our employees.
As of December 31, 2021, we had approximately 6,850 employees, of whom approximately 53%, 18%, 9%, 7%, 6%, 5% and 2% are located in North America, Southeast Asia, Japan, China, South Korea, Taiwan and Europe, respectively. Given the variability of business cycles in the semiconductor industry and the quick response time required by our customers, it is critical that we be able to quickly adjust the size of our production staff to maximize efficiency. Therefore, we use skilled temporary labor as required. None of our employees is represented by a labor union or covered by a collective bargaining agreement other than statutorily mandated programs in certain international jurisdictions.
Culture. Our organization is built around what we call our PACE values: our core values of treating people with respect and dignity, acting honestly and consistently, encouraging creativity and innovation and a dedication to excellence. We believe that by continuing to focus on these values, we provide our employees with a positive work environment that encourages them to continue innovating.
We regularly conduct surveys of our employees to understand their perspectives on a number of topics. During 2021, these topics included commitment to Entegris’ core values, safety and general employee satisfaction. Management uses the information gathered from these surveys to inform its decision making with respect to employee matters, aiming to continue to be an employer of choice in our industries.
Diversity and Inclusion. We believe that maintaining a culture of diversity and inclusion helps enable us to innovate more effectively. To that end, we seek to promote diverse perspectives throughout our organization and are an equal opportunity employer committed to making employment decisions without regard to race, religion, national or ethnic origin, sex, sexual orientation, gender identity or expression, age, disability, protected veteran status or other characteristics protected by law.
Our commitment to diversity and inclusion is evidenced by the creation and support of our Employee Networks, which are networks of our employees who share a common interest and are designed to advance diversity and inclusion and to promote our workplaces as environments where all individuals are valued for their talents and empowered to reach their fullest potential. As of December 31, 2021, our Employee Networks included groups focused on gender identity, sexual orientation, age and veteran status.
Health, Safety and Wellness. Our success depends on the well-being of our employees. We maintain a culture focused on safety and strive to identify, eliminate and control risk in the workplace in an effort to prevent injury and illness. Our employees have access to a global safety management system and are encouraged to report incidents, near misses or other observations in the system. The system has been widely adopted in our manufacturing locations across the globe, and management uses the information generated by it to set safety-related policies and to set goals for future performance. Further, we provide our employees with a comprehensive benefits package that includes health insurance and other resources that support their physical and mental well-being.
In response to the COVID-19 pandemic, we have taken proactive, aggressive actions to protect the health and safety of our employees, customers, partners and suppliers, consistent with the latest and evolving governmental guidelines. We expect to continue to implement appropriate measures until the COVID-19 pandemic is adequately contained. We continue to monitor the rapidly evolving situation and guidance from international and domestic authorities, including federal, state and local public health authorities, and may take additional actions based on their recommendations or as we otherwise see fit to protect the health and safety of our employees, customers, partners and suppliers.
Total Rewards. We are focused on enhancing our high-performing organization. To achieve this, we seek to attract and retain talented employees by providing compelling total rewards, encompassing pay, benefits and other programs, that enrich our employees, both personally and professionally. Our total rewards program is designed to be attractive and competitive and to enable our employees to reach their highest potential by directly impacting their financial security, career growth opportunities and the health and well-being of them and their families.
Talent Development and Training. We are committed to the continued development and training of our employees. We conduct formal evaluations with each of our employees on an annual basis, and managers provide feedback directly to employees through informal review sessions periodically throughout the year. Our formal evaluation process requires employees to track whether they met certain development goals that are set at the beginning of the review period. While we continue to search for new perspectives and insights with external hires, we also seek to provide opportunities for our employees to grow their careers and regularly fill open vacancies with internal candidates. In addition, management periodically assesses succession planning for certain key positions and reviews our workforce to identify high potential employees for future growth and development.
We also provide formal and informal training opportunities for our employees covering a variety of professional, technical and leadership topics. Our training opportunities are designed to promote learning across all levels of our organization, and in 2021 our formal training offerings included management trainings and the development of both technical and soft skills.
OUR HISTORY
The Company was incorporated in Delaware on March 17, 2005 in connection with a merger between Entegris, Inc., a Minnesota corporation, and Mykrolis Corporation, a Delaware corporation. On April 30, 2014, the Company acquired ATMI, based in Danbury, CT. Entegris has been helping its customers solve their critical materials challenges and enhance their manufacturing yields for over 50 years, tracing its corporate origins back to Fluoroware, Inc., which began operating in 1966.
AVAILABLE INFORMATION
Our Internet address is www.entegris.com. On this website, under the “About Us—Investor Relations—Financial Information” section, we post the following filings as soon as reasonably practicable after they are electronically filed with, or furnished to, the U.S. Securities and Exchange Commission, or SEC: our annual, quarterly, and current reports on Forms 10-K, 10-Q, and 8-K; our proxy statements; any amendments to those reports or statements, and Form SD. All such filings are available on our website free of charge. The SEC also maintains a website (www.sec.gov) that contains reports, proxy and information statements, and other information regarding issuers that file electronically with the SEC. The content on our website and any other website as referred to in this Form 10-K is not incorporated by reference into this Form 10-K unless expressly noted.
Item 1A. Risk Factors.
In addition to the other information in this Annual Report on Form 10-K, the following risk factors should be carefully considered in evaluating us and our common stock. Any of the following risks, many of which are beyond our control, could materially and adversely affect our financial condition, results of operations or cash flows or cause our actual results to differ materially from those projected in any forward-looking statements. We may also face other risks and uncertainties that are not presently known, are not currently believed to be material or are not identified below because they are common to all businesses. Past financial performance may not be a reliable indicator of future performance and historical trends should not be used to anticipate results or trends in future periods. For more information, see “Cautionary Statement” in Item 7 of this Annual Report on Form 10-K.
Risk Factor Summary
Risks Related to Our Business and Industry
•The impact of the COVID-19 pandemic.
•The impact of declines in industry or worldwide economic conditions.
•Variability of revenues and operating results.
•The evolving nature of the industries we serve and the impact of changes in products and technology.
•Supply chain risks.
•Operation of a global business.
•The impact of tariffs, export controls and other trade laws and restrictions, especially with respect to China.
•Customer concentration.
•Continuing innovation and introduction of new products.
•Risks related to competition.
•Our ability to successfully acquire other business, form joint ventures or divest businesses.
•The impact of disruptions to our operations.
•The use of hazardous materials in our operations.
•Loss of key employees.
•Our ability to obtain, protect and enforce intellectual property rights.
•The impact of information technology system failures, network disruptions and breaches in data security.
•The impact of climate change.
Risks Related to Government Regulation
•The impact of environmental laws and regulations.
•Risks related to the regulatory environment.
•Changes in taxation or adverse tax rulings.
Risks Related to Our Indebtedness
•The impact of our indebtedness.
•Restrictions on our operations as a result of the terms of the Credit Agreement.
Risks Related to Owning our Common Stock
•The volatility of the price of our common stock.
•Changes in capital allocation strategy.
•Provisions in our charter documents and Delaware law may delay or prevent an acquisition of us.
Risks Related to Our Pending Merger with CMC Materials, Inc.
•Failure to complete the merger and termination thereof.
•The impact of the merger on the market price of shares of our common stock.
•Restrictions on our operations until the completion of the merger.
•Employee-related risks, including retention.
•Retention of customers or suppliers.
•Risks related to debt of the combined company.
Risks Related to Our Business and Industry
The COVID-19 pandemic and continuing governmental responses could materially adversely affect our financial condition and results of operations.
The COVID-19 pandemic continues to impact the global economy and cause significant macroeconomic uncertainty. Infection rates vary across the countries in which we operate. As we are currently experiencing with the omicron variant, there may be additional waves of infection, which could be more contagious than prior waves. We have taken proactive measures to protect the safety of our employees at all of our global facilities. Governmental authorities continue to implement numerous and constantly evolving measures to try to contain the virus, such as travel bans and restrictions, masking recommendations and mandates, vaccine recommendations and mandates, limits on gatherings, quarantines, shelter-in-place orders and business shutdowns. Measures providing for business shutdowns have generally excluded certain essential services, including critical infrastructure and the businesses that support that critical infrastructure. While all of our facilities currently remain operational, these measures have impacted and may further impact our workforce and operations, as well as those of our customers, suppliers and other third parties with which we do business. For example, in March 2020 the government of Malaysia issued an order that significantly reduced the number of employees who could be physically present to operate our Malaysian plant, which temporarily reduced the productivity of that plant. During 2021, we experienced brief interruptions in operations at several of our sites across the world.
Constraints and limitations imposed on our operations or modifications on our business practices, or those of our suppliers, may limit our ability to meet customer demand, cause us to increase our safety stock of certain materials, reduce our productivity, slow or diminish our research and development activities, make our products less competitive, or cause our customers to seek alternative suppliers and delay customer qualification activities, any of which could harm our business, reduce our profitability or have a material adverse effect on our financial condition and results of operations. For example, employees working remotely may not be able to maintain the same level of productivity and efficiency and employees may face additional demands on their time, such as increased responsibilities resulting from school closures or the illness of family members. Absenteeism caused by the COVID-19 pandemic may increase in the future and may harm our productivity. We have incurred and may continue to incur incremental compensation expense related to the COVID-19 pandemic. Furthermore, restrictions or disruptions of transportation, such as reduced availability of air transport, port closures and increased border controls or closures, have in certain instances resulted in higher costs and delays both in obtaining materials and shipping finished goods to customers. The pandemic and related restrictions have placed considerable strain on us, our suppliers and other third parties with which we do business. The increased reliance on remote access to information systems by us and our customers, suppliers and other third parties with which we do business increases the risk of exposure of our information and systems to potential cybersecurity breaches. There is no certainty that additional measures required by government authorities or as we determine to be in the best interests of our employees, customers, partners and suppliers will be sufficient to mitigate the risks posed by the virus and we may be unable to respond to the needs of our global business. Furthermore, we cannot predict with certainty the impact that future government, restrictions, mandates or related measures may have on our workforce and operations. These may require significant time and attention from management to implement, increase our operating costs, reduce productivity, result in attrition of our employees or hinder our ability to recruit and retain our employees.
The degree to which COVID-19 impacts our results will depend on future developments, which are highly uncertain and cannot be predicted, including, but not limited to, the duration and spread of outbreaks, their severity, potential additional waves of infection, the emergence of more virulent or more dangerous strains of the virus, the actions to mitigate the virus and its impact, the development, distribution, efficacy and acceptance of vaccines and how quickly and to what extent normal economic and operating conditions can resume. The prolonged implementation, or re-implementation, of measures by governments to try to contain the virus may lead to fatigue in complying with COVID-19 restrictions among the public, which in turn may further exacerbate its spread. Furthermore, the COVID-19 pandemic makes it more difficult for us to forecast demand and provide guidance for upcoming periods. Accordingly, any guidance we provide is likely to be less reliable than usual, and actual results are more likely to differ from any such guidance. In light of the foregoing, investors are urged to put the guidance in context and not to place undue reliance on it.
Declines in the semiconductor industry or worldwide economic conditions may cause demand for our products to decrease and may adversely affect our business.
Declines in industry or worldwide economic conditions may adversely affect our business. Our revenue is primarily dependent upon demand from semiconductor manufacturers, which is largely driven by the current and anticipated demand for electronic products that utilize semiconductors. Despite recent increases in demand for semiconductors in applications such as smartphones, 5G wireless technology, cloud computing, the Internet of Things, machine learning and artificial intelligence, autonomous vehicles and virtual reality, the semiconductor industry has historically been, and is likely to continue to be, cyclical with periodic significant downturns, resulting in significantly decreased demand for products such as ours. While demand for semiconductors has remained robust, the COVID-19 pandemic has significantly increased economic and demand uncertainty and contributed to substantial volatility and inflationary pressure in global markets, including those in which we participate. We anticipate that the pandemic will continue to adversely affect the global economy, and it is possible that it could cause a global recession, each of which may adversely affect our business. We have previously experienced significant revenue deterioration and operating losses due to severe downturns in the semiconductor industry, which often occur suddenly. The semiconductor industry is also affected by seasonal shifts in demand. We are unable to predict the timing, duration or severity of any future downturns in the semiconductor industry. As a result, we could underperform the market or our peers.
During downturns and periods of soft demand, our revenue is reduced and we typically experience greater pricing pressure and shifts in product and customer mix, which often adversely affect our gross margin and net income. Furthermore, to remain competitive, we must maintain a satisfactory level of engineering, research and development activity, invest in our infrastructure and maintain the ability to respond to any increases in demand and, as a result, a lower volume of sales can have a large and disproportionate impact on our profitability. Even moderate seasonality can cause our operating results to fluctuate significantly from one period to the next. Uncertain and volatile economic, political, public health or business conditions in any of our key sales regions can cause or exacerbate negative trends in business and consumer spending and have historically impacted customer demand for our products. These conditions can cause material adverse changes in our results of operations and financial condition, including:
•a decline in demand for our products would have an immediate impact on our revenues;
•an increase in reserves for accounts receivable due to our customers’ inability to pay us;
•lower utilization of our manufacturing facilities, which could lead to lower margins;
•an increase in write-offs for excess or obsolete inventory that we cannot sell;
•potential impairment charges relating to goodwill, intangible assets, manufacturing equipment or other long-lived assets, to the extent that any downturn indicates that the carrying amount of the asset may not be recoverable;
•greater challenges in forecasting operating results, making business decisions and identifying and prioritizing business risks; and
•additional cost reduction efforts, including additional restructuring activities, which may adversely affect our ability to capitalize on opportunities.
We anticipate that the ongoing COVID-19 pandemic may cause us to experience at least some of these adverse changes, but we cannot predict the timing or degree to which they will occur, if at all.
Our revenues and operating results are variable.
Our revenues and operating results may fluctuate significantly from quarter-to-quarter or year-to-year due to a number of factors, many of which are outside our control. We manage our expenses based in part on our expectations of future revenues. Because some of our expenses are relatively fixed in the short term, a change in the timing of revenue or the amount of profit we generate from a small number of transactions can unfavorably affect operating results in a particular period. Factors that may cause our financial results to fluctuate unpredictably include:
•economic conditions in the semiconductor industry or in the other industries we serve;
•the impact of the COVID-19 pandemic on the global economy, the semiconductor industry, the other industries we serve, our manufacturing capabilities or our supply chain;
•the size and timing of customer orders;
•consolidation of our customers, which could impact their purchasing decisions and negatively affect our revenues;
•procurement shortages;
•the failure of our suppliers or outsource providers to perform their obligations;
•manufacturing difficulties;
•additional expenses we would expect to incur in our efforts to respond promptly to any supply shortages, manufacturing difficulties or other supplier problems;
•decisions to increase or accelerate our purchasing of raw materials, components or other supplies in an effort to mitigate supply risk;
•customer decisions to decelerate orders in order to draw down their inventory;
•customer cancellations of or delays in shipments, installations or customer acceptances or, alternatively, acceleration of orders from customers to increase their inventory;
•our customers’ rate of replacement of our consumable products;
•changes in average selling prices, customer mix and product mix;
•our ability to develop, introduce and market new, enhanced and competitive products in a timely manner;
•our competitors’ introduction of new products;
•legal or technical challenges to our products or technologies;
•disruptions in transportation, communication, demand, information technology or supply, including strikes, acts of God, wars, terrorist activities and natural or man-made disasters;
•legal, tax, accounting or regulatory changes (including changes in import/export regulations and tariffs) or changes in the interpretation or enforcement of existing requirements;
•changes in our estimated tax rate; and
•foreign currency exchange rate fluctuations.
The COVID-19 pandemic is likely to exacerbate the adverse impact of many of these factors on our revenues and results of operations, at least in the short term.
The industries we serve are constantly evolving, and any failure to manage our business effectively during periods of rapid change may adversely affect our business performance and results of operations.
Intense competition in the semiconductor industry often leads to rapid changes in products and technology. These changes can significantly alter demand for our products and the amount and mix of customers’ spending on our products and solutions can significantly impact our results of operations. Changes in demand may arise from factors such as advances in fabrication processes, new and emerging technologies, end-user demand, customers’ production capacity and customers’ capacity utilization. We must accurately forecast demand for each of our products and effectively manage our resources and production capacity across our various businesses. Although we regularly reassess our allocation of resources in response to the changing business environment, we may incur unexpected or additional costs to align our operations with demand, If we do not adequately anticipate changes in our business environment, we may lack the infrastructure, manufacturing capacity and resources to scale up our business to meet customer expectations and compete successfully during a period of growth, or we may expand our capacity too rapidly, resulting in excess fixed costs. Even with effective allocation of resources and management of costs, during periods of decreasing demand, our gross margins and earnings will usually be adversely impacted. Especially during transitional periods, resource allocation decisions can have a significant impact on our future performance, particularly if we have not accurately anticipated the correct mix of industry changes. Our success will depend, to a significant extent, on our management’s ability to identify and respond to these challenges effectively.
Our ability to increase sales of our products, particularly our capital equipment products, depends in part upon our ability in a very short timeframe to ramp up our manufacturing capacity and to mobilize our supply chain. If we are unable to expand our manufacturing capacity on a timely basis, manage the expansion effectively and obtain larger quantities of raw materials, our customers could obtain products from our competitors, which would reduce our market share, harm our reputation as a trusted partner and impact our results of operations. These challenges have been exacerbated by the COVID-19 pandemic. In 2021, we faced several instances where our manufacturing capacity was constrained and the lead time to manufacture and deliver our customers’ products was extended. If we are unable to meet our customers’ demand for our products or deliver our products within their required lead times, our customers may seek to replace us with alternative suppliers. Additionally, although we have been increasing inventory levels to support strong demand in a challenging supply chain environment, historically we have operated our business on a just-in-time shipment basis with a modest level of inventory, ordering supplies and planning production based on internal demand forecasts. The failure to accurately forecast demand for our products, in terms of both volume and product type, has in the past led to, and may in the future lead to, delays in product shipments and disappointment of customer expectations, as well as an increased risk of excess and obsolete inventory.
We depend on single and limited source suppliers, and an interruption in our ordinary sources of supply could affect our ability to manufacture our products and have an adverse effect on our results of operations.
We rely on single or limited source suppliers for certain raw materials that are critical to the manufacturing of our products, such as plastic polymers, filtration membranes, petroleum coke and other materials. If we were to lose any one of these sources, it could be difficult for us to find an alternative supplier and we would need to qualify this new source through our customers’ rigorous qualification processes. Although we seek to reduce our dependence on single and limited source suppliers, the partial or complete loss of any of these sources could interrupt our manufacturing operations and result in a material adverse effect on our results of operations.
At times, we have experienced a limited supply of certain raw materials, which has resulted in delays, lost revenue, increased costs and risks associated with qualifying products that are manufactured using such new raw materials with our customers. Events such as an industry-wide increase in demand for, or the discontinuation of, raw materials used in our products could harm our ability to acquire sufficient quantities of such raw materials, and our manufacturing operations may be interrupted. For example, in 2021 we experienced a disruption in the supply of certain ceramic material for use in our coatings business in our SCEM division when the supplier was unable to produce these materials at the required specifications. When these events occur, we work collaboratively with the supplier to determine the root cause and to solve the manufacturing issue in order to reestablish the supply of these materials. We may be unable to successfully reestablish our raw material supply in the future, in which case shortages may adversely affect our operations. Additionally, our suppliers may not have the capacity to meet increases in our demand for raw materials, in turn, making us unable to meet demand from our customers. Prices for our raw materials can vary widely. While we have long-term arrangements with certain key suppliers that fix our price for the purchase of certain raw materials, if the cost of our raw materials increases, as we have seen recently due to inflationary pressure, and we are unable to correspondingly increase the sales price of our products or find other cost savings, our profit margins will decline.
The COVID-19 pandemic has caused a difficult and dynamic supply chain and global logistics environment, and we have experienced instances of raw material constraints and shortages, higher freight costs and delivery delays in both inbound shipments of raw materials and outgoing shipments of finished products to customers. If our suppliers or sub-suppliers are unable to maintain their operations or COVID-19 related restrictions become more severe, we may encounter difficulties obtaining raw materials, which may cause us to fail to meet customer demand or require us to pay higher prices for these materials, either of which could harm our business and profitability. To mitigate the risk of potential supply interruptions from the COVID-19 pandemic, during 2021, we chose to increase certain inventory levels, causing us to hold more inventory than we might have otherwise maintained. Additionally, an economic slowdown caused by the COVID-19 pandemic or otherwise could harm the financial health of our suppliers and sub-suppliers. Although we regularly monitor the financial health of companies in our supply chain, financial hardship on our suppliers or sub-suppliers could cause a disruption in our ability to obtain raw materials or components or adversely affect our operations. We may increase our safety stocks of raw materials or components or alter our payment terms with certain suppliers, including prepaying for raw materials, any of which could put downward pressure on our cash flow.
We are exposed to the risks of operating a global business as a significant amount of our sales and manufacturing activity occur outside the United States.
Sales to customers outside the United States accounted for approximately 77%, 75% and 76% of our net sales in 2021, 2020 and 2019, respectively. We anticipate that international sales will continue to account for a majority of our net sales. In addition, a number of our key domestic customers derive a significant portion of their revenues from sales in international markets. We also manufacture a significant portion of our products outside the United States and depend on international suppliers for many of our parts and raw materials. We intend to continue to pursue opportunities in both sales and manufacturing internationally. Our international operations are subject to a number of risks and potential costs that could adversely affect our revenue and profitability, including:
•border closures, travel bans, entry limitations or inspections and other restrictions on the international movement of goods, including actions to limit the export of goods in order to secure a domestic supply in light of actual or anticipated global shortages, as well as the potential exercise of governmental power to requisition or prioritize the production of specified goods or to commandeer facilities in the public interest, such as in the effort to combat the COVID-19 pandemic, any of which could adversely affect our ability to obtain supplies and deliver our products to customers;
•the implementation of laws, rules, regulations, policies and other government actions, such as “trade wars,” tariffs, sanctions or other changes in international trade requirements that affect our business and that of our customers and suppliers, any of which could impose additional costs on our operations and limit our ability to operate our business;
•challenges in hiring and integrating workers in different countries;
•challenges in managing a diverse workforce with different experience levels, languages, cultures, customs, business practices and worker expectations, along with differing employment practices and labor issues;
•challenges of maintaining appropriate business processes, procedures and internal controls and complying with legal, environmental, health and safety, anti-bribery, anti-corruption and other regulatory requirements that vary by jurisdiction, including new and evolving requirements for social distancing and other measures to minimize the spread of COVID-19;
•challenges in developing relationships with local customers, suppliers and governments;
•fluctuating pricing and availability of raw materials and supply chain interruptions or slowdowns, including as a result of difficulties, financial or otherwise, faced by segments of the transportation industry;
•public health crises, such as the COVID-19 pandemic;
•expense and complexity of complying with U.S. and foreign import and export regulations, including the ability to obtain required import and export licenses;
•fluctuations in interest rates and currency exchange rates, including the relative strength or weakness of the U.S. dollar against foreign currencies that are important to our business, including the Japanese yen, euro, Taiwanese dollar, Korean won, Chinese renminbi, Singapore dollar, Malaysian ringgit, Canadian dollar or Israeli shekel, which could cause our sales and profitability to decline;
•liability for foreign taxes assessed at rates higher than those applicable to our domestic operations;
•challenges and costs associated with the protection of our intellectual property throughout the world;
•customer or government efforts to encourage operations and sourcing in a particular country, such as Korea or China, including efforts to develop and grow local competitors, require local manufacturing, and provide special incentives to government-backed local customers to buy from local competitors; and
•political and economic instability and uncertainty, which may result in severely diminished liquidity and credit availability, rating downgrades of sovereign debt, declining valuation of certain investments, declines in consumer confidence, declines in economic growth, volatility in unemployment rates and uncertainty about economic stability.
In the past, these factors have disrupted our operations and increased our costs, and we expect that these factors will continue to do so in the future.
Tariffs, export controls and other trade laws and restrictions resulting from international trade disputes, strained international relations and changes to foreign and national security policy, especially as they relate to China, could have an adverse impact on our operations.
Tariffs, additional taxes, trade barriers and other measures, particularly those arising out of relations between the United States and China, may increase costs of raw materials and our manufacturing costs, decrease margins, reduce the competitiveness of our products or inhibit our ability to sell products or purchase necessary equipment and supplies, any of which could have a material adverse effect on our business, results of operations or financial condition. For example, both the United States and China have implemented several rounds of tariffs and retaliations with respect to certain products imported from the other country, some of which have impacted certain raw materials we use. We have made operational changes in an effort to mitigate the impact of these tariffs on our products, but our efforts may not be successful.
In addition, we are subject to export control and economic sanctions laws and regulations that restrict the delivery of some of our products and services to certain end users, countries and nationals of certain countries. In certain circumstances, these restrictions may prohibit the transfer of certain of our products, services and technologies, and in other circumstances they may require us to obtain a license from the U.S. government before delivering the controlled item or service. We must also comply with export control and economic sanctions laws and regulations imposed by other countries. Although we maintain an export and trade control compliance program, it may be ineffective or circumvented, exposing us to legal liabilities. Compliance with these laws could significantly limit our sales in the future. Changes in, and responses to, U.S. trade controls could reduce the competitiveness of our products and cause our sales to drop, which could have a material adverse effect on our business, financial condition and results of operations.
In addition to the tariffs mentioned above, over the last several years, the U.S. government has significantly expanded export controls on certain technologies and commodities to certain markets, particularly with respect to semiconductor and other high technology exports to China. For example, effective June 29, 2020, the U.S. Department of Commerce imposed new export controls on the transfer of many U.S. products and technologies, including many commercial-grade electronics, to “military end users” in China, a term which may include many Chinese commercial companies that sell products to or do business with the
military. Likewise, beginning in May 2019, the U.S. Department of Commerce imposed significant restrictions on the transfer of any products from the United States, as well as many products produced overseas that incorporate U.S. content or rely on U.S. software or technology, to Huawei Technologies Co., Ltd. and several of its overseas affiliates, including HiSilicon, and took similar action against Semiconductor Manufacturing International Corporation in December 2020. The U.S. Department of Commerce continues to impose similar export-related restrictions against other Chinese companies for their support of the military, for business activities, including supply chain activities, related to the Xinjiang region of China, and for other actions found to be contrary to U.S. national security or foreign policy. The U.S. government also continuously assesses which “emerging and foundational technologies” warrant new or additional controls, which could subject additional U.S.-origin products and services to more stringent export restrictions. It is possible that these modified regulations, and any future regulations, could reduce demand for our products. As a result of these restrictive measures, certain of our customers have made efforts to source products domestically in order to mitigate perceived risks to their supply chain. If these efforts are successful, are widespread amongst our customers and expand to our products and solutions broadly, overall global demand for our customers’ products or for other products produced or manufactured in the United States or based on U.S. technology may be reduced, in turn reducing demand for our products, which could have a material adverse effect on our business, financial condition and results of operations. Such risks may be especially exacerbated as they relate to China, a market that is important to our business, representing approximately 16% of our sales in 2021.
A significant portion of our sales is concentrated on a limited number of key customers, and our net sales and profitability may materially decline if we were to lose one or more of these customers.
Sales to a limited number of large customers constitute a significant portion of our overall revenue, shipments, cash flows, collections and profitability. Our top ten customers accounted for 43%, 46% and 43% of our net sales in 2021, 2020 and 2019, respectively. Our customers could stop using our products in their manufacturing processes with limited advance notice to us, and we would have limited or no contractual recourse. The cancellation, reduction or deferral of purchases of our products by any one of these customers could significantly reduce our revenues in any particular quarter. If we were to lose any of our significant customers, if our products are not specified for our significant customers’ products or if we suffer a material reduction in their purchase orders, our revenue could decline and our business, financial condition and results of operations could be materially and adversely affected. Due to the long design and development cycle and lengthy customer product qualification periods required for most of our products, we may be unable to replace these customers quickly, if at all.
Furthermore, the semiconductor industry has been undergoing, and is expected to continue to undergo, consolidation. If any of our customers merge or are acquired, we may experience lower overall sales from the merged or combined companies. In addition, our principal customers also hold considerable purchasing power and may be able to negotiate sales terms that result in decreased pricing, increased costs, and/or lower margins for us, and limitations on our ability to share jointly developed technology with others.
If we are unable to anticipate and respond to rapid technological change and customer requirements by continuing to innovate and introduce new and enhanced products and solutions, our business could be seriously harmed.
The semiconductor industry is subject to rapid technological change, changing customer requirements and frequent new product introductions. In our industry, the first company to introduce an innovative product that addresses an identified market need will often have a significant advantage over competing products. For this reason, we make significant expenditures to research, develop, engineer and market new products and make significant capital investments in technology and manufacturing capacity in anticipation of future business and without any purchase commitment from our customers. We incurred $167.6 million, $136.1 million and $121.1 million for engineering, research and development expense in 2021, 2020 and 2019, respectively, to support new product and technology development. Following development, it may take several years for sales of a new product to reach a substantial level, if ever. If a product concept does not progress beyond the development stage or only achieves limited acceptance in the marketplace, we may not receive a direct return on our expenditures, we may lose market share and our revenue and our profitability may decline. For example, in the past, we incurred significant impairment charges for capital expenditures related to developing the capability to manufacture shippers and FOUPs for 450 mm wafers, which major semiconductor manufacturers announced that they would not initiate manufacturing for in the foreseeable future.
We believe that our future success will depend upon our ability to continue to develop mission-critical solutions to maximize our customers’ manufacturing yields and enable higher performance semiconductor devices. A failure to successfully anticipate and respond to technological changes by developing, marketing and manufacturing new products or enhancements to our existing products could harm our business prospects and significantly reduce our sales. For example, as 3D NAND technology advances to higher densities, the conventional process used to etch critical features no longer works. Recognizing the need for a new chemistry, we developed a series of prototype formulations for highly selective nitride etch and developed a specialized liquid filter that removes contaminants while simultaneously maintaining the critical components that make the chemistry function. While we have achieved process-of-record for these specific etch processes with certain customers and we are preparing for rapid, high-volume ramp, we may not generate significant revenue from these solutions. We cannot assure you
that the new products and technology we choose to develop and market will be successful. In addition, if new products have reliability or quality problems, we may experience reduced orders, higher manufacturing costs, delays in acceptance and payment, additional service and warranty expense and damage to our reputation.
Competition from new or existing companies could harm our financial condition, results of operations and cash flow.
We operate in a highly competitive industry. We face many competitors, some of which have substantially greater manufacturing, financial, research and development and marketing resources than we do. In addition, some of our competitors may have better-established customer relationships than we do, which may enable them to have their products specified for use more frequently and more quickly by these customers. We also face competition from smaller, regional companies that focus on serving customers in their regions. Further, customers continually evaluate the benefits of internal manufacturing versus outsourcing, and a customer’s decision to internally manufacture products that we provide may negatively impact us. If we are unable to maintain our competitive position, we could experience downward pressure on prices, fewer customer orders, reduced margins, the inability to take advantage of new business opportunities and a loss of market share, any of which could have a material adverse effect on our results of operations. Further, we expect that existing and new competitors will improve their products and introduce new products with enhanced performance characteristics. The introduction of new products or more efficient production of existing products by competitors could diminish our market share and increase pricing pressure on our products.
We may acquire other businesses, form joint ventures or divest businesses, which could negatively affect our financial performance.
We intend to continue to engage in business combinations, acquisitions, joint ventures, investments or other types of collaborations to address gaps in our product offerings, adjust our business and product portfolio to meet our ongoing strategic objectives, diversify into complementary markets, increase our scale or accomplish other strategic objectives. These transactions involve numerous risks to our business, financial condition and operating results, including but not limited to:
•experiencing difficulty in identifying suitable acquisition candidates and completing transactions at appropriate valuations, in a timely manner, on a cost-effective basis or at all, due to substantial competition for acquisition targets;
•inability to successfully integrate any acquired businesses into our business operations;
•failure to realize the anticipated synergies or other benefits of any such transaction;
•entering into markets in which we have limited or no prior experience;
•finding acquirors and obtaining adequate value for businesses that no longer meet our objectives;
•inability to complete proposed transactions due to the failure to obtain regulatory or other approvals;
•requirements imposed by government regulators in connection with their review of a transaction, which may include, among other things, divestitures and restrictions on the conduct of our existing business or the acquired business;
•undertaking multiple transactions at the same time in order to take advantage of acquisition opportunities that do arise, which could strain our ability to effectively execute and integrate such transactions;
•diversion of management’s attention from our day-to-day business due to dedication of significant management resources to such transactions;
•employee uncertainty and lack of focus during the integration process that may also disrupt our business;
•the risk of litigation or claims associated with a proposed or completed transaction;
•challenges associated with managing new, more diverse and more widespread operations, projects and people, potentially located in regions where we have not historically conducted or operated our business;
•dependence on unfamiliar or less secure supply chains and inefficient scale of the acquired entity;
•increasing costs of performing due diligence to meet the expectations of investors and government regulators;
•despite our due diligence, we could assume unknown, underestimated or contingent liabilities, such as potential environmental, health and safety liabilities, any of which could lead to costly litigation or mitigation actions;
•an acquired technology or product may have inadequate or invalid intellectual property protection or may be subject to claims of infringement by a third party, which may result in claims for damages and lower than anticipated revenue;
•we could experience negative effects on our reported results of operations from dilutive results from operations and/or from future potential impairment of acquired assets, including goodwill, related to acquisitions;
•an acquired company may have inadequate or ineffective internal controls over financial reporting, disclosure controls and procedures, cybersecurity, privacy, environmental, health and safety, anti-bribery, anti-corruption, human resource or other policies or practices, which may require unexpected or additional integration, mitigation and remediation costs;
•reductions in cash or increases in debt to finance transactions, which reduce the cash flow available for general corporate or other purposes, including share repurchases and dividends; and
•difficulties in retaining key employees or customers of an acquired business.
Manufacturing interruptions or delays, or other disruptions to our operations, could adversely affect our business, financial condition and results of operations.
Our manufacturing processes are complex and require the use of expensive and technologically sophisticated equipment and materials. These processes are frequently modified to improve manufacturing yields, process stability and product quality. We have, on occasion, experienced manufacturing difficulties, such as critical equipment breakdowns or the introduction of impurities in the manufacturing process. Any future difficulties could cause lower yields, make our products unmarketable and/or delay deliveries to customers. In addition, any modification to the manufacturing process of a product could require that the product be re-qualified by customers, which can increase our costs and delay our ability to sell this product to our customers. These and other manufacturing difficulties may result in the loss of sales and exposure to warranty and product liability claims.
Some of our products are manufactured at only one or two facilities in different countries, many of which are subject to severe weather events and natural catastrophes, such as typhoons in Taiwan, Malaysia and China, earthquakes in Japan and Taiwan, hurricanes in east Texas and Florida, severe winter weather in Texas, wildfires in California and Colorado and flooding in Arkansas. Our suppliers and customers face similar dangers. Although we have continuity plans designed to mitigate the impact of natural disasters on our operations, those plans may be insufficient, and any catastrophe may disrupt our ability to manufacture and deliver products to our customers, resulting in an adverse impact on our business and results of operations. A disruption at our manufacturing facilities could impact sales of the products manufactured at those facilities until another facility could commence or expand production of those products, and disruptions at our other facilities may similarly adversely affect our operations. In addition to natural disasters, disruptions may be caused by other factors, including civil unrest, outbreaks of disease, terrorist actions or other events outside our control. We have moved, and we may again move, the manufacture of certain products from one plant to another. If we fail to transfer and re-establish the manufacturing processes in the destination plant efficiently and effectively, we may not be able to meet customer demand, we may lose credibility with our customers and our business may be harmed. Even if we successfully move our manufacturing processes, we may not achieve the anticipated levels of cost savings or efficiencies, if any.
Our operations use hazardous materials that expose us to various risks, including potential liability for personal injury and potential remediation obligations.
Our operations involve, and we are exposed to the risks associated with, the use and manufacture of hazardous materials. In particular, we manufacture specialty chemicals, which is an inherently hazardous process that may result in accidents, and store and transport hazardous raw materials, products and waste in, to and from various facilities. Potential risks that may disrupt our operations or expose us to significant losses and liabilities include explosions and fires, chemical spills and other discharges, releases of toxic or hazardous substances or gases, and pipeline and storage tank leaks and ruptures. These and other hazards may result in liability for personal injury, death, damage to property and contamination of the environment; suspension of operations; the imposition of civil or criminal fines, penalties and other sanctions; cleanup costs; claims by governmental entities or third parties; reputational harm; increases in our insurance costs; and other adverse impacts on our results of operations. Moreover, a failure of one of our products at a customer site could interrupt the business operations of the customer. For example, while we believe that our SDS and VAC delivery systems are safe to transport, store and deliver toxic gases, any leakage could cause serious damage, including injury or death, to any person exposed to those toxic gases, potentially creating significant product liability exposure for us. Our insurance coverage may be inadequate to satisfy any such liabilities, and our financial results or financial condition could be adversely affected.
Loss of any of our key personnel could harm our business, and our inability to attract and retain new qualified personnel could inhibit our ability to operate and grow our business successfully.
Many of our key personnel have significant experience in the semiconductor industry and deep technical expertise. The loss of the services of any of our key employees or an inability to attract, train and retain qualified and skilled employees, particularly research and development and engineering personnel, could inhibit our ability to operate and grow our business. As the semiconductor industry has grown in recent years, competition for qualified talent, particularly those with significant industry experience, has intensified. During 2021 we experienced, and may in the future continue to experience, an increasingly competitive and constrained labor market, which may limit our ability to add headcount required to meet our customers’ demand, decrease our productivity due to an influx of inexperienced workers and cause our labor costs to increase and our
profitability to decline. As a result, the difficulty and costs associated with attracting and retaining employees has risen and may continue to rise.
If we fail to obtain, protect and enforce intellectual property rights, our business and prospects could be harmed.
Our future success and competitive position depend in part upon our ability to obtain, maintain and enforce intellectual property rights. We rely on patent, trade secret and trademark laws to protect many of our major product platforms. Although we often file applications for additional patents, our pending applications may not be approved. Moreover, any patents that we own or obtain may not provide us with any competitive advantage, and these patents may expire or be challenged, invalidated, circumvented, rendered unenforceable or otherwise compromised by third parties. We may not develop additional proprietary technology. In addition, any failure to obtain intellectual property protection in the international jurisdictions we serve could expose us to increased competition, which could limit our growth and future revenue. Although we enter into confidentiality agreements with our employees and certain third parties to protect our proprietary information and technology, these agreements may be inadequate to protect our interests, and the remedies available to us for any breach may not adequately mitigate any breach. Furthermore, third parties may be able to replicate or obtain our confidential and proprietary information and technology through lawful means, and they may also be able to design around our patents. Additionally, we may lose trade secret protection as a result of the actions or omissions of us, our employees or third parties. Any weakness in our ability to protect our intellectual property could adversely affect our business, financial condition and results of operations.
Third parties may misappropriate our intellectual property rights, and disputes regarding intellectual property rights may arise. We may bring litigation in order to enforce our patents, copyrights or other intellectual property rights, to protect our trade secrets, to determine the validity and scope of the proprietary rights of others or to defend against claims of infringement. Such litigation could result in substantial costs and diversion of resources and could negatively affect our sales, profitability and prospects regardless of whether our efforts are successful. For example, from 2015 until 2020, we were party to litigation to enforce our intellectual property rights against Gudeng Precision Industrial Co., Ltd. for their patent infringement. We settled this dispute in 2020 by licensing certain of our intellectual property rights to Gudeng. In 2021, Gudeng filed a patent lawsuit against us and the lawsuit is pending. We continue to vigorously defend our patents and rights, which will cause us to incur costs. We may initiate other costly litigation against our competitors or other third parties in order to protect our intellectual property rights. We cannot predict how any existing or future litigation will be resolved or what impact it may have on us.
Our commercial success also depends, in part, on our ability to avoid infringing or misappropriating any patents or other proprietary rights of third parties. If we infringe or misappropriate a third party’s patent or other proprietary rights, we could be required to pay damages, alter our products or processes, obtain a license to continue use of a proprietary right or cease utilizing such proprietary rights, including making or selling products utilizing such proprietary rights. If we are required to obtain a license, we may be unable to do so on commercially acceptable terms, or at all.
We may be subject to information technology system failures, network disruptions and breaches in data security, which could damage our reputation and adversely affect our financial condition, results of operations and cash flows, and new laws and regulations regarding data privacy may increase our costs.
We collect and store sensitive data, including our financial information, intellectual property, confidential information, proprietary business information and personally identifiable information of our employees and others, as well as similar information of our customers, suppliers and business partners. We maintain this information in our data centers, on our networks and on information technology, or IT, systems owned and maintained by third parties. The secure processing, maintenance and transmission of this information is critical to our operations. All IT systems are subject to disruption, breach or failure. Data breaches, including those sponsored by state actors, have become increasingly common in recent years. For example, during 2020 the United States government was hacked via third-party software applications by hackers suspected of being sponsored by a foreign intelligence agency. While we and our third-party providers have implemented network security procedures, virus protection software, intrusion prevention systems, access control, emergency recovery processes and internal control measures, we and they have experienced, and expect to continue to be subject to, cybersecurity threats and incidents ranging from employee error or misuse, to individual attempts to gain unauthorized access to systems, to sophisticated and targeted measures known as advanced persistent threats. Despite the precautions undertaken by us and our third-party providers, IT system failures, network disruptions and breaches of data security could cause disruption in our operations, issues with customer communication and order management, the unauthorized or unintentional disclosure of sensitive information, disruptions in our transaction processing or undermine the integrity of our disclosure controls and procedures and our internal control over financial reporting, which could affect our reputation, result in significant liabilities and expenses, adversely affect our ability to report our financial results in a timely manner and could have a material adverse effect on our financial condition, results of operations and cash flows. These risks may be further amplified by the increased reliance on remote access to IT systems by us and our customers, suppliers and other third parties with which we do business as a result of employees working remotely in response to the COVID-19 pandemic.
Moreover, new laws and regulations, such as the European Union’s General Data Protection Regulation, the California Consumer Privacy Act and China’s Personal Information Protection Law, add to the complexity of our compliance obligations, which increases our compliance costs. Although we have established internal controls and procedures intended to achieve compliance with such laws and regulations, a failure to fully comply could result in significant penalties.
Climate change may have a long-term impact on our business.
There are inherent climate-related risks wherever our business is conducted. Changes in market dynamics, stakeholder expectations, local, national and international climate change policies, and the frequency and intensity of extreme weather events on critical infrastructure in the United States and abroad, all have the potential to disrupt our business and operations. Such events could result in a significant increase in our costs and expenses and harm our future revenue, cash flows and financial performance. Global climate change is resulting in, and may continue to result, in certain natural disasters and adverse weather events, such as drought, wildfires, storms, sea-level rise and flooding, occurring more frequently or with greater intensity, which could cause business disruptions and impact employees’ abilities to commute or to work from home effectively. Government failure to address climate change in line with the Paris Agreement could result in greater exposure to economic and other risks from climate change and impact our ability to achieve climate goals.
Risks Related to Government Regulation
We are subject to a variety of environmental laws and regulations that could cause us to incur significant liabilities and expenses.
Failure to comply with the wide variety of federal, state, local and non-U.S. regulatory requirements relating to the release, use, storage, treatment, transportation, discharge, disposal and remediation of, and human exposure to, hazardous chemicals could result in future liabilities or the suspension of production or shipment. These requirements have become stricter over time. These laws and regulations, among others, increase the complexity and costs of transporting our products from the country in which they are manufactured to our customers. Further changes to these and similar regulations could restrict our ability to expand, build or acquire new facilities, require us to acquire costly control equipment, cause us to incur expenses associated with remediation of contamination, cause us to modify our manufacturing or shipping processes or otherwise increase our cost of doing business and have a negative impact on our financial condition, results of operations and cash flows. In addition, the potential adoption of new laws, rules or regulations related to climate change poses risks that could harm our results of operations or affect the way we conduct our businesses. For example, new or modified regulations could require us to make substantial expenditures to enhance our environmental compliance efforts.
We are exposed to various risks from our regulatory environment.
We are subject to risks related to new, different, inconsistent, or even conflicting laws, rules, and regulations that may be enacted by legislative or executive bodies and/or regulatory agencies in the countries where we operate; disagreements or disputes related to international trade; and the interpretation and application of laws, rules, and regulations. As a public company with global operations, we are subject to the laws of multiple jurisdictions and the rules and regulations of various governing bodies, including those related to health and safety, export controls, financial and other disclosures, corporate governance, privacy, anti-corruption, such as the Foreign Corrupt Practices Act and other local laws prohibiting corrupt payments to governmental officials or customers, conflict minerals or other social responsibility legislation, employment practices, immigration or travel regulations and antitrust regulations, among others. Each of these laws, rules and regulations imposes costs on our business, including financial costs and potential diversion of our management’s attention, and may present risks to our business, including potential fines, restrictions on our actions and reputational damage if we do not fully comply. The volume of changes to such laws, rules and regulations may increase in the United States over the next several quarters as the Biden administration continues to implement its policies.
To maintain high standards of corporate governance and public disclosure, we intend to invest in appropriate resources to comply with evolving standards. Changes in or ambiguous interpretations of laws, regulations and standards may create uncertainty regarding compliance matters. Efforts to comply with new and changing regulations have resulted in, and are likely to continue to result in, increased administrative expenses and diversion of management’s time and attention from revenue-generating activities to compliance activities. If we are found by a court or regulatory agency not to be in compliance with laws and regulations, our reputation, business, financial condition and/or results of operations could be adversely affected, we may be disqualified or barred from participating in certain activities and we may be forced to modify our operations to achieve full compliance.
Changes in taxation or adverse tax rulings could adversely affect our results of operations.
We operate in many foreign countries and are subject to taxation at various rates and audit by multiple taxing authorities. Our results of operations could be affected by tax audits, changes in tax rates, changes in laws and regulations governing the calculation, location and taxation of earned profit, changes in laws and regulations affecting our ability to realize deferred tax
assets on our balance sheet and changes in laws and regulations relating to the repatriation of cash into the United States. Each quarter we forecast our tax liability based on our forecast of our performance for the year in each tax jurisdiction. If our performance forecast changes, our forecasted tax liability would also likely change, perhaps materially.
We have undertaken and expect to continue to undertake a number of complex internal reorganizations of our foreign subsidiaries in order to rationalize and streamline our foreign operations, focus our management efforts on certain local opportunities and take advantage of favorable business conditions in certain localities. These or any future reorganizations could result in adverse tax consequences in one or more jurisdictions, which could adversely impact our profitability from foreign operations and result in a material reduction in our results of operations.
Various other jurisdictions, including members of the Organization for Economic Cooperation and Development, are considering changes to their tax laws, including provisions intended to address base erosion and profit shifting by taxpayers. Any tax reform adopted in these or other countries may exacerbate the risks described above.
Risks Related to Our Indebtedness
We have a substantial amount of indebtedness and may in the future incur substantially more debt, each of which could adversely affect our ability to obtain financing in the future and react to changes in our business.
As of December 31, 2021, we had an aggregate principal amount of $945.0 million of indebtedness outstanding, including our 4.375% senior unsecured notes due April 15, 2028 and our 3.625% senior unsecured notes due May 1, 2029, or collectively the Notes, and our senior secured term loan facility due 2025, or the Term Loan Facility. In addition, we have approximately $400 million of unutilized capacity under our senior secured revolving credit facility due 2026, or the Revolving Facility. We refer to the Term Loan Facility and the Revolving Facility as the Credit Facilities, and the credit agreement that governs the Credit Facilities as the Credit Agreement.
Further, we may incur significant additional secured and unsecured indebtedness in the future. For example, in connection with our proposed merger with CMC, we have entered into an amended and restated commitment letter with Morgan Stanley Senior Funding, Inc. and certain other financial institutions providing for a senior secured first lien term loan B facility in an aggregate principal amount of up to $4 billion and a senior unsecured bridge term loan facility in an aggregate principal amount of up to $895 million. Commitments under the bridge facility will be reduced by, among other things, the aggregate gross cash proceeds in excess of $300 million resulting from any issuance or sale by Entegris of certain securities, including senior unsecured notes or other debt securities or other indebtedness for borrowed money, equity securities and equity-linked securities.
Although the indentures governing the Notes, or the Indentures, and the Credit Agreement restrict our ability to incur additional indebtedness, the restrictions have a number of significant qualifications and exceptions. For example, the Credit Agreement provides that we can request additional loans and commitments up to the greater of $400 million or 100% of our EBITDA, as well as additional amounts if our secured net leverage ratio is less than a specified ratio. Further, these restrictions do not prevent us from incurring monetary obligations that do not constitute indebtedness. If we add new indebtedness and other monetary obligations to our current debt levels, the related risks that we now face would intensify.
Our debt could have important consequences, including:
•limiting our ability to obtain additional financing to fund future working capital, capital expenditures, acquisitions or other general corporate purposes;
•requiring a substantial portion of our cash flow to be dedicated to debt service payments instead of other purposes;
•increasing our vulnerability to adverse changes in general economic, industry and competitive conditions;
•exposing us to increased interest expense for borrowings with variable interest rates, including borrowings under the Credit Facilities; and
•placing us at a disadvantage compared to other, less leveraged competitors or competitors with comparable debt having more favorable terms.
We may be unable to generate sufficient cash to service our indebtedness and may be forced to take other actions, which may not be successful, to satisfy our obligations under our indebtedness.
We may be unable to maintain sufficient cash flow from operating activities to permit us to pay the principal of, premium, if any, and interest on our indebtedness. Our ability to make scheduled payments on or to refinance our debt obligations depends on our financial condition and operating performance and the condition of the capital markets, which are subject to prevailing economic, industry and competitive conditions, as well as many financial, business, legislative, political, regulatory and other factors beyond our control. If our cash flow and capital resources are insufficient to fund our debt service obligations, we could face substantial liquidity problems, be forced to reduce or delay investments and capital expenditures, dispose of material assets
or operations, seek additional debt or equity capital or restructure or refinance our indebtedness, any of which could have a material adverse effect on our business, financial position and results of operations.
Any refinancing of our debt could be at higher interest rates and may require us to comply with more onerous covenants, which could further restrict our business operations. We may not be able to implement any refinancing on commercially reasonable terms or at all and, even if successful, a refinancing may not allow us to meet our scheduled debt service obligations. The agreements governing our indebtedness restrict our ability to dispose of assets and use the proceeds of such dispositions, and we may be unable to consummate any dispositions or generate proceeds sufficient to meet our debt service obligations.
If we cannot make scheduled payments on our debt, holders of the Notes and lenders under the Credit Facilities could declare all outstanding principal and interest to be due and payable, the lenders under the Revolving Facility could terminate their commitments to advance further loans, our secured lenders could foreclose against the assets securing their borrowings and we could be forced into bankruptcy or liquidation.
The terms of the Credit Agreement may restrict our operations, particularly our ability to respond to changes or raise additional funds.
The Credit Agreement contains restrictive covenants that impose significant operating and financial restrictions that may limit our ability to take actions that may be in our long-term best interest, including restrictions on our ability to:
•incur additional indebtedness and guarantee indebtedness;
•pay dividends or make other distributions in respect of, or repurchase or redeem, capital stock;
•prepay, redeem or repurchase certain debt;
•make investments, loans, advances and acquisitions;
•sell or otherwise dispose of assets, including capital stock of our subsidiaries;
•enter into transactions with affiliates;
•alter the businesses we conduct; and
•merge or sell all or substantially all of our assets or incur a change of control in our capital stock ownership.
In addition, the restrictive covenants may, depending on the amount of revolving borrowings, unreimbursed letter of credit drawings and undrawn letters of credit, require us to maintain a secured net leverage ratio, which we may be unable to meet. Also, the Indentures contain limited covenants, such as a covenant restricting our ability and certain of our subsidiaries’ ability to incur certain debt secured by liens. Our failure to comply with these covenants could result in the acceleration of some or all of our indebtedness, which could lead to bankruptcy, reorganization or insolvency.
Risks Related to Owning our Common Stock
The price of our common stock has been and may remain volatile.
The price of our common stock has been volatile. In 2021, the closing price of our stock on The Nasdaq Global Select Market, or Nasdaq, ranged from a low of $93.99 to a high of $154.75, and, as in past years, the price of our common stock may show even greater volatility in the future. The trading price of our common stock is subject to significant volatility in response to numerous factors, many of which are beyond our control or may be unrelated to our operating results, including the following:
•the significant increase in volatility in the stock market in general as a result of the COVID-19 pandemic;
•any changes to our financial guidance, as well as potential decreased confidence in any guidance we do provide;
•changes in global economic conditions, including those resulting from trade tensions or the COVID-19 pandemic;
•the failure to meet the expectations of securities analysts, which may vary significantly from our actual results;
•changes in financial estimates by securities analysts;
•press releases or announcements by, or changes in market values of, comparable companies;
•high volatility in price and volume in the markets for high-technology stocks;
•the public perception of equity values of publicly traded companies;
•fluctuations in our results of operations; and
•the other risks and uncertainties described in this Annual Report on Form 10-K and in our other filings with the SEC.
Fluctuations in our results of operations could cause our stock price to decline significantly. We believe that period-to-period comparisons of our results of operations may not be meaningful, and you should not rely upon them as indicators of our future performance. Future decreases in our stock price may adversely impact our ability to raise sufficient additional capital in the future, if needed.
There can be no assurance that we will continue to declare cash dividends or that we will recommence the repurchase of our shares in any particular amounts or at all.
In connection with our proposed acquisition of CMC, we have suspended our previously announced share repurchase program. Future payments of quarterly dividends and any future repurchases of shares of our common stock are subject to capital availability and periodic determinations by our board of directors that they are in the best interest of our stockholders and comply with all laws and applicable agreements. Future dividends and any future share repurchases may be affected by, among other factors, potential capital requirements for acquisitions and the funding of our research and development activities; legal risks; changes in federal and state income tax laws or corporate laws; contractual restrictions, such as financial or operating covenants in our debt arrangements; availability of domestic cash flow; and changes to our business model. The amounts of our dividend payments may change from time to time, and we may decide at any time to reduce, suspend or discontinue the payment of dividends or the repurchase of shares. A reduction, suspension or discontinuation of our dividend payments or the cessation of our share repurchase program could have a negative effect on the price of our common stock and may harm our reputation.
Provisions in our charter documents and Delaware law may delay or prevent an acquisition of us, which could decrease the value of our shares.
Our certificate of incorporation, our by-laws and Delaware law contain provisions that could make it harder for a third party to acquire us without the consent of our board of directors. These provisions include limitations on actions by written consent of our stockholders.
Our certificate of incorporation makes us subject to the anti-takeover provisions of Section 203 of the Delaware General Corporation Law. In general, Section 203 prohibits publicly held Delaware corporations from engaging in a “business combination” with an “interested stockholder” for a period of three years after the date of the transaction in which the person became an interested stockholder, unless the business combination is approved in a prescribed manner. This provision could discourage parties from bidding for our shares of common stock and could, as a result, reduce the likelihood of an increase in the price of our common stock that would otherwise occur if a bidder sought to buy our common stock.
Our certificate of incorporation authorizes our board of directors to issue, without further stockholder approval, up to 5,000,000 shares of preferred stock in one or more series and to fix and designate the rights, preferences, privileges and restrictions of the preferred stock, including dividend rights, conversion rights, voting rights, redemption rights and liquidation preferences. The holders of any shares of preferred stock could have preferences over the holders of our common stock with respect to dividends and liquidation rights. Any issuance of preferred stock may have the effect of delaying, deterring or preventing a change in control. Any issuance of preferred stock could decrease the amount of earnings and assets available for distribution to the holders of common stock and could adversely affect the rights and powers, including voting rights, of the holders of common stock. The issuance of preferred stock could have the effect of decreasing the market price of our common stock.
Risks Related to Our Pending Merger with CMC Materials, Inc.
Failure to complete our pending merger with CMC Materials, Inc. could have a materially adverse effect on our financial condition and results of operations and could negatively impact our stock price.
On December 14, 2021, we entered into an agreement and plan of merger with merger sub and CMC, pursuant to which merger sub will merge with and into CMC, with CMC surviving the merger as a wholly-owned subsidiary of Entegris. We will incur significant transaction costs relating to the merger, including legal, accounting, financial advisory, regulatory and other expenses. In general, these expenses are payable by us whether or not the merger is completed. The payment of such transaction costs could have an adverse effect on our financial condition, results of operations or cash flows. In addition, we could be subject to litigation in the event the merger is not consummated, which could subject us to significant liability for damages and result in the incurrence of substantial legal fees. The current market price of our stock may reflect an assumption that the pending merger will occur and failure to complete the merger could result in a decline in our stock price.
The merger may not be completed and the merger agreement may be terminated in accordance with its terms.
The merger is subject to a number of conditions that must be satisfied, including the approval by CMC stockholders of the merger agreement proposal, or waived (to the extent permissible), in each case before the completion of the merger. These conditions to the completion of the merger, some of which are beyond our control and that of CMC, may not be satisfied or waived in a timely manner or at all, and, accordingly, the merger may be delayed or not completed.
Additionally, either we or CMC may terminate the merger agreement under certain circumstances, including, among other reasons, if the merger is not completed by December 14, 2022 (which date may be extended to March 14, 2023, under certain circumstances. In addition, if the merger agreement is terminated under certain circumstances specified in the merger agreement, CMC may be required to pay us a termination fee of $187 million, including certain circumstances in which the CMC board of directors effects an adverse recommendation change or CMC enters into an agreement with respect to a superior proposal following the termination of the merger agreement.
The announcement and pendency of the merger agreement and any subsequent termination of the merger agreement could negatively impact us or CMC.
Whether or not the merger is completed, the announcement and pendency of the merger could cause disruptions in our businesses. If the merger is not completed for any reason, including as a result of a failure to obtain the required CMC stockholder vote or the failure to obtain the requisite regulatory approvals, our ongoing businesses may be adversely affected. We may be subject to a number of risks, including the following:
•we may experience negative reactions from the financial markets, including negative impacts on our stock price;
•we may experience negative reactions from our suppliers and customers;
•our current and prospective employees and those of CMC may experience uncertainty about their future roles with the combined company, which might adversely affect our or CMC’s abilities to retain key managers and other employees;
•the merger agreement places certain restrictions on the conduct of each company’s business prior to completion of the merger and such restrictions, the waiver of which is subject to the consent of the other company (not to be unreasonably withheld, conditioned or delayed), which may prevent us or CMC from taking certain other specified actions during the pendency of the merger; and
•matters relating to the merger (including integration planning) will require substantial commitments of time and resources by our management and CMC management, which could otherwise have been devoted to day-to-day operations or to other opportunities that may have been beneficial to us or CMC, as applicable, as an independent company.
The market price for shares of our common stock following the completion of the merger may be affected by factors different from, or in addition to, those that historically have affected or currently affect the market price of shares of our common stock.
Upon consummation of the merger, our stockholders and CMC stockholders will both hold shares of common stock in Entegris. Our businesses differ from those of CMC, and CMC’s businesses differ from those of our business, and, accordingly, the results of operations of the combined company will be affected by some factors that are different from those currently or historically affecting our results of operations and those currently or historically affecting the results of operations of CMC. The results of operations of the combined company may also be affected by factors different from those that currently affect or have historically affected either us or CMC.
Until the completion of the merger or the termination of the merger agreement in accordance with its terms, we and CMC are each prohibited from entering into certain transactions and taking certain actions that might otherwise be beneficial to us or CMC and our or their respective shareholders.
From and after the date of the merger agreement and before completion of the merger, the merger agreement restricts us and CMC from taking specified actions without the consent of the other party (with CMC being restricted from taking a broader set of specified actions than us) and requires that the business of each company and its respective subsidiaries be conducted in all material respects in the ordinary course of business consistent with past practice. These restrictions may prevent us or CMC from making appropriate changes to our or their respective businesses or organizational structures or from pursuing attractive business opportunities that may arise before the completion of the merger, and could have the effect of delaying or preventing other strategic transactions. Adverse effects arising from the pendency of the merger could be exacerbated by any delays in consummation of the merger or termination of the merger agreement.
Failure to attract, motivate and retain executives and other key employees could diminish the anticipated benefits of the merger.
The success of the merger will depend in part on the retention of personnel critical to the business and operations of the combined company due to, for example, their technical skills or management expertise. Competition for qualified personnel can be intense.
Our current and prospective employees and those of CMC may experience uncertainty about their future role with us and the combined company until strategies with regard to these employees are announced or executed, which may impair our and
CMC’s ability to attract, retain and motivate key management, sales, marketing, technical and other personnel before and following the merger. Employee retention may be particularly challenging during the pendency of the merger, as employees may experience uncertainty about their future roles with the combined company. If we or CMC are unable to retain personnel, including our and CMC’s key management, who are critical to the successful integration and future operations of the companies, we and the combined company could face disruptions in our operations, loss of existing customers or loss of sales to existing customers, loss of key information, expertise or know-how, and unanticipated additional recruitment and training costs. In addition, the loss of key personnel could diminish the anticipated benefits of the merger.
If our key employees or CMC’s key employees depart, the integration of the companies may be more difficult and the combined company’s business following the merger may be harmed. Furthermore, the combined company may have to incur significant costs in identifying, hiring and retaining replacements for departing employees and may lose significant expertise and talent relating to our business or CMC’s business, and the combined company’s ability to realize the anticipated benefits of the merger may be adversely affected. In addition, there could be disruptions to or distractions for the workforce and management associated with activities of labor unions or integrating employees into the combined company. No assurance can be given that the combined company will be able to attract or retain our key employees and those of CMC to the same extent that the separate companies have been able to attract or retain their respective employees in the past.
The failure to successfully combine our and CMC’s businesses may adversely affect our future results.
The success of the merger will depend, in part, on our ability to realize anticipated benefits from integrating CMC into the Company. To realize these anticipated benefits, our business and CMC must be successfully combined. If we are not able to achieve these objectives, the anticipated benefits of the merger may not be realized fully or at all, or may take longer to realize than expected.
We may not be able to retain customers or suppliers, or customers or suppliers may seek to modify contractual obligations with us, which could have an adverse effect on our business and operations. Third parties may terminate or alter existing contracts or relationships with us or CMC.
As a result of the merger, we may experience impacts on relationships with customers or suppliers that may harm our business and results of operations. Certain customers or suppliers may seek to terminate or modify contractual obligations following the merger whether or not contractual rights are triggered as a result of the merger. There can be no guarantee that customers or suppliers will remain with or continue to have a relationship with us or do so on the same or similar contractual terms following the merger. If any customers or suppliers seek to terminate or modify contractual obligations or discontinue the relationship with us, then our business and results of operations may be harmed. If certain of our suppliers were to seek to terminate or modify an arrangement with us, then we may be unable to procure necessary supplies from other suppliers in a timely and efficient manner and on acceptable terms, or at all.
We and CMC also have contracts with vendors, landlords, licensors and other business partners which may require us or CMC, as applicable, to obtain consent from these other parties in connection with the merger. If these consents cannot be obtained, we may suffer a loss of potential future revenue, incur costs, and lose rights that may be material to its business. In addition, third parties with whom we or CMC currently have relationships may terminate or otherwise reduce the scope of their relationship with either party in anticipation of the merger. Any such disruptions could limit our ability to achieve the anticipated benefits of the merger. The adverse effect of any such disruptions could also be exacerbated by a delay in the completion of the merger or by a termination of the merger agreement.
The combined company’s debt may limit our financial flexibility following the merger.
We expect to incur a substantial amount of debt in connection with the merger and have entered into an amended and restated commitment letter with Morgan Stanley Senior Funding, Inc. and certain other financial institutions providing for a senior secured first lien term loan B facility in an aggregate principal amount of up to $4 billion and a senior unsecured bridge term loan facility in an aggregate principal amount of up to $895 million. We expect to use a portion of the proceeds from such facilities to repay and terminate both our and CMC’s existing credit facilities (or, amend or otherwise refinance, our Revolving Facility) substantially concurrently with the completion of the merger. Accordingly, as of September 30, 2021, the combined company would have had approximately $5.6 billion of total debt, after giving pro forma effect to the merger, the refinancing of our and CMC’s existing credit facilities and certain other adjustments.
Following the merger, the combined company’s substantial indebtedness could have adverse effects on the combined company’s financial condition and results of operations, including:
•increasing its vulnerability to changing economic, regulatory and industry conditions;
•limiting its ability to compete and its flexibility in planning for, or reacting to, changes in its business and the industry;
•limiting its ability to pay dividends to its stockholders;
•limiting its ability to borrow additional funds; and
•increasing its interest expense and requiring it to dedicate a substantial portion of its cash flow from operations to payments on its debt, thereby reducing funds available for working capital, capital expenditures, acquisitions, share repurchases, dividends and other purposes.
The combined company’s ability to make scheduled payments of the principal of, and to pay interest on or to refinance its indebtedness following the merger will depend on, among other factors, the combined company’s respective financial positions and performance, as well as prevailing market conditions and other factors beyond its control. Our combined business may not continue to generate cash flow from operations in the future sufficient to service the combined company’s debt and make necessary capital expenditures and meet its other liquidity needs. If the combined company is unable to generate such cash flow, it may be required to adopt one or more alternatives, such as selling assets, restructuring debt or obtaining additional equity capital or debt refinancing on terms that may be onerous. The combined company may not be able to engage in any of these activities or engage in these activities on desirable terms, which could result in a default on its debt obligations which, if not cured or waived, could accelerate the combined company’s repayment obligations under all of its outstanding debt which could have a material adverse effect on the combined company’s business, results of operations or financial condition.
In addition, the level and quality of the combined company’s earnings, operations, business and management, among other things, will impact the determination of the combined company’s credit ratings. A decrease in the ratings assigned to the combined company by the ratings agencies may negatively impact the combined company’s access to the debt capital markets and increase the combined company’s cost of borrowing. There can be no assurance that the combined company will be able to obtain any future required financing on acceptable terms or at all. In addition, there can be no assurance that the combined company will be able to maintain the current credit worthiness or prospective credit rating of the Company. Any actual or anticipated changes or downgrades in such credit rating may have a negative impact on the liquidity, capital position or access to capital markets of the combined company.
The covenants contained in the agreements governing the combined company’s indebtedness following the merger will impose restrictions on the combined company and certain of its subsidiaries that may affect their ability to operate their businesses.
The agreements that will govern the indebtedness of the combined company following the merger, including the indebtedness to be incurred pursuant to the commitment letter (or any indebtedness that may refinance or replace the bridge facility as set forth in the commitment letter) will contain various affirmative and negative covenants. Such covenants may, subject to certain significant exceptions, restrict the ability of the combined company and certain of its subsidiaries after the merger to, among other things, incur liens, incur debt, engage in mergers, consolidations and acquisitions, transfer assets outside the ordinary course of business, make loans or other investments, pay dividends, repurchase equity interests, make other payments with respect to equity interests, repay or repurchase subordinated debt and engage in affiliate transactions. In addition, such agreements may also contain financial covenants that would require the combined company to maintain certain financial ratios under certain circumstances. The ability of the combined company and its subsidiaries to comply with these provisions after the merger may be affected by events beyond their control. Failure to comply with these covenants could result in an event of default, which, if not cured or waived, could accelerate the combined company’s repayment obligations under all of its outstanding debt which could have a material adverse effect on the combined company’s business, results of operations or financial condition.