Delaware
|
001-38273
|
94-3290283
|
(State or Other
Jurisdiction of Incorporation)
|
(Commission
File Number)
|
(IRS Employer
Identification No.)
|
42307 Osgood Road, Suite I
|
|
Fremont, California
|
94539
|
(Address of Principal Executive Offices)
|
(Zip Code)
|
☐ |
Written communications pursuant to Rule 425 under the Securities Act (17 CFR 230.425)
|
☐ |
Soliciting material pursuant to Rule 14a-12 under the Exchange Act (17 CFR 240.14a-12)
|
☐ |
Pre-commencement communications pursuant to Rule 14d-2(b) under the Exchange Act (17 CFR 240.14d-2(b))
|
☐ |
Pre-commencement communications pursuant to Rule 13e-4(c) under the Exchange Act (17 CFR 240.13e-4(c))
|
Title of each class
|
Trading symbol
|
Name of each exchange on which registered
|
||
Class A Common Stock, par value $0.0001 per share
|
ACMR
|
Nasdaq Global Market
|
NOTE: |
ACM Research, Inc., or ACM Research, conducts its business operations principally through its subsidiary ACM Research (Shanghai), Inc., or ACM
Shanghai. Unless the context requires otherwise, references in this report to “our,” “us,” “we” and similar terms refer to ACM Research and its subsidiaries, including ACM Shanghai, collectively.
|
Item 1.01.
|
Entry into a Material Definitive Agreement.
|
Item 7.01.
|
Regulation FD Disclosure. |
Item 8.01.
|
Other Information.
|
• |
a listing, which we refer to as the STAR Listing, of shares of ACM Shanghai on the Shanghai Stock Exchange’s Sci-Tech Innovation Board, known as the STAR Market; and
|
• |
a concurrent initial public offering, which we refer to as the STAR IPO, of ACM Shanghai shares in the People’s Republic of China, or the PRC, at a pre-offering
valuation of not less than RMB 5.15 billion ($747.1 million).
|
• |
the land lease and building construction for our proposed development and production center in the Lingang region of Shanghai, as described in the Current Report on
Form 8-K we filed with the Securities and Exchange Commission, or SEC, on May 13, 2020;
|
• |
product development to upgrade and expand our process equipment targeted at more advanced process nodes, including technical improvement and development of TEBO
megasonic cleaning equipment, Tahoe single wafer wet bench combined cleaning equipment, front-end brush scrubbing equipment, front end process electroplating equipment, Stress Free Polish equipment and vertical furnace equipment; and
|
• |
working capital.
|
• |
Commitment Letter Regarding the Lock-up of Shares, pursuant to which ACM Research has agreed to a three-year lockup of its shares in ACM Shanghai, including a
prohibition against directing ACM Shanghai to repurchase any of its shares following the closing of the STAR IPO. The lockup period will be extended for six additional months if the daily closing price of ACM Shanghai shares for twenty
consecutive trading days during the first six months following the STAR IPO is lower than the issue price of shares in the STAR IPO.
|
• |
Commitment Letter Regarding Shareholding Intent and Intent to Reduce Shareholdings, pursuant to which ACM Research and David H. Wang, Chief Executive Officer,
President and Chair of the Board, have agreed that sale of ACM Shanghai shares during the two-year period following the termination of its lockup period (as described above) will be made (a) in a manner consistent with the regulations of
the China Securities Regulatory Commission, or CSRC, and the Shanghai Stock Exchange, (b) at a price no lower than the issue price of shares the STAR IPO and (c) following a pre‑announcement of its intention to make such sale. Assuming the
lockup period is not extended as described in the preceding paragraph, this agreement will terminate five years following the closing of the STAR IPO.
|
• |
Commitment Letter Regarding the Plan and Binding Measures for Stabilizing the Stock Price of ACM Shanghai Within Three Years After Listing, pursuant to which ACM
Research and certain of its officers and directors, including Dr. Wang, have severally agreed that if, during the three‑year period following the STAR IPO, the daily closing price of the shares of ACM Shanghai for twenty consecutive trading
days is lower than the audited net assets value per share (as defined) for the fiscal year prior to the STAR IPO, the parties agree that they will take measures to stabilize the ACM Shanghai share price by either (a) ACM Shanghai
repurchasing shares purchased by minority shareholders or (b) ACM Research, Dr. Wang or other executive officers and directors purchasing additional ACM Shanghai shares.
|
• |
Commitment Letter Regarding Fraudulent Issuance of Listed Shares, pursuant to which ACM Research, ACM Shanghai and Dr. Wang have represented that there has been no
fraud in the STAR IPO and undertake to purchase any shares of ACM Shanghai issued pursuant to the STAR IPO if it is determined by the CSRC and other relevant authorities that fraud was so committed or that ACM Shanghai was ineligible for
the STAR IPO.
|
• |
Commitment Letter Regarding the Lack of False Records, Misleading Statements or Major Omissions, pursuant to which ACM Research has covenanted not to make any
misrepresentations, misleading statements or major omissions in its disclosure documents relating to the STAR IPO and has agreed to compensate investors in ACM Shanghai for losses according to law in the event of a breach.
|
• |
Commitment Letter Regarding Making Up for Diluted Immediate Returns, pursuant to which ACM Research has undertaken to prevent the risk of dilution to ACM Shanghai
shareholders and to assert influence of ACM Shanghai’s operations only to the extent of ACM Research’s authority as a majority shareholder.
|
• |
Commitment Letter Regarding Unfulfilled Commitment on Binding Measures, pursuant to which ACM Research and Dr. Wang have committed to fulfill the obligations of ACM
Research set forth in the PID and have agreed to take certain corrective actions for failure to do so, including publicly explaining reasons for such failure, compensate investors in ACM Shanghai for losses according to law, foregoing
dividends from ACM Shanghai, and returning any gains that resulted from such failure.
|
• |
Letter of Commitment on the Avoidance of Competition in the Same Industry, pursuant to which ACM Research has agreed that it will not, without the prior consent of
ACM Shanghai, compete with the principal business of ACM Shanghai, including supporting any companies that may compete with ACM Shanghai. If ACM Research engages in any competitive activity, it has agreed to terminate or otherwise transfer
such activity and, in the case of transfer, grants to ACM Shanghai a right of first refusal to acquire such transferred activity. The commitment under this agreement will remain in effect so long as ACM Research remains the controlling
shareholder of ACM Shanghai.
|
• |
Commitment Letter Regarding the Standardization and Reduction of Related Transactions, pursuant to which ACM Research has agreed that any transactions between ACM
Research and ACM Shanghai will be standardized, to the extent possible, at arm’s-length and fair to ACM Shanghai.
|
• |
Commitment Letter Regarding the Avoidance of Funds Occupation and Illegal Guarantee, pursuant to which ACM Research has agreed that the funds of ACM Shanghai and its
controlled companies have not, and will not, be used for non-operating purposes, including that ACM Shanghai will not provide any guarantees in violation of applicable regulations.
|
• |
Statement and Commitment Letter, pursuant to which ACM Research has covenanted as to its ownership of shares of ACM Shanghai and related matters.
|
• |
Commitment Letter Regarding Property Lease Matters, pursuant to which ACM Research has guaranteed in full the payment of all costs of any relocation of ACM Shanghai
resulting from ACM Shanghai being unable to continue to lease and use, due to certain property mortgage matters, either of the two properties it currently leases in Shanghai for ACM Shanghai’s headquarters and manufacturing space.
|
• |
Commitment Letter Regarding Social Insurance and Housing Provident Fund Matters, pursuant to which ACM Research has guaranteed in full the payment of ACM Shanghai’s
obligations to make certain social insurance and housing fund contributions.
|
• |
Commitment Letter Regarding Foreign Exchange Matters pursuant to which ACM Research has agreed to be responsible for any obligations imposed by the foreign exchange
management department relating to the STAR IPO or for any foreign exchange matters existing before the STAR IPO.
|
• |
Confirmation and Commitment Letter Regarding the Historical Evolution Related Matters Regarding ACM Shanghai, pursuant to which ACM Research has covenanted as to
historical developments regarding ACM Shanghai, including with respect to certain intellectual property of ACM Shanghai.
|
• |
Confirmation Letter, pursuant to which ACM Research has confirmed it did not use any intellectual property licensed under its Technology License Agreement dated
January 31, 2007 with ACM Shanghai (under which ACM Research granted ACM Shanghai a worldwide exclusive license to the intellectual property owned or controlled by ACM Shanghai) in a manner inconsistent with such agreement, that it has not
otherwise transferred or authorized anyone else to use the licensed intellectual property, and that no dispute exists with respect to the intellectual property of ACM Shanghai.
|
• |
he will not voluntarily convert any Class B common stock held by him into Class A common stock for a three-year period after the completion of the STAR IPO;
|
• |
he will lockup, and not sell, any shares of ACM Shanghai directly or indirectly owned by him for a three-year period after the completion of the STAR IPO, subject to
a six-month extension if the daily closing price of ACM Shanghai shares for twenty consecutive trading days during the first six months following the STAR IPO is lower than the issue price of shares in the STAR IPO;
|
• |
following the expiration of his lockup obligations, his sales of ACM Shanghai shares will be subject to volume limitations for a period of four years and as long as
he continues to serve on the board of directors of ACM Shanghai;
|
• |
he will cause ACM Shanghai to fulfill its obligations set forth in the PID and to take certain actions if it fails to do so, including compensating investors for
losses and publicly explaining the reason for any failure;
|
• |
he will refrain from competing, or causing any entities controlled by him to compete, with the primary business of ACM Shanghai;
|
• |
he will, to the extent possible, cause related party transactions with ACM Shanghai to be standardized and ensure that such transactions are fair to ACM Shanghai; and
|
• |
he will not use the funds of ACM Shanghai for personal gain or any other unlawful purpose.
|
• |
In December 2018 the SEC and the PCAOB issued a joint statement highlighting continued challenges faced by U.S. regulators in their oversight of financial statement
audits of U.S.-listed reporting companies with significant operations in the PRC.
|
• |
In June 2019 a bipartisan group of lawmakers introduced bills in both houses of the U.S. Congress that, if passed, would have required the SEC to maintain a list of
reporting companies for which the PCAOB is not able to inspect or investigate an auditor report issued by a foreign public accounting firm. The proposed Ensuring Quality Information and Transparency for Abroad-Based Listings on our
Exchanges Act, or EQUITABLE Act, would have prescribed increased disclosure requirements for these reporting companies and, beginning in 2025, provided for the delisting from U.S. stock exchanges of reporting companies included on the SEC’s
list for three consecutive years.
|
Item 9.01.
|
Financial Statements and Exhibits.
|
Exhibit
|
Description
|
|
Commitment Letter Regarding the Lock-up of Shares, effective as of May 26, 2020, of ACM Research, Inc.
|
||
Commitment Letter Regarding Shareholding Intent and Intent to Reduce Shareholding, effective as of May
26, 2020, of ACM Research, Inc. and David H. Wang
|
||
Commitment Letter Regarding the Plan and Binding Measures for Stabilizing the Stock Price of ACM
Research (Shanghai), Inc. Within Three Years After Listing, effective as of May 26, 2020, of ACM Research, Inc., ACM Research (Shanghai), Inc., and certain individuals named therein
|
||
Commitment Letter Regarding Fraudulent Issuance of Listed Shares, effective as of May 26, 2020, of ACM
Research, Inc., ACM Research (Shanghai), Inc. and David H. Wang
|
||
10.05*†
|
|
Commitment Letter Regarding the Lack of False Records, Misleading Statements or Major Omissions in the
Preliminary Information Document, effective as of May 26, 2020, of ACM Research, Inc.
|
Commitment Letter Regarding Making Up for Diluted Immediate Returns, effective as of May 26, 2020, of
ACM Research, Inc.
|
||
10.07*†
|
|
Commitment Letter Regarding Unfulfilled Commitment on Binding Measures, effective as of May 26, 2020, of
ACM Research, Inc. and David H. Wang
|
Commitment Letter Regarding the Avoidance of Competition in the Same Industry, effective as of May 26,
2020, of ACM Research, Inc.
|
||
Commitment Letter Regarding the Standardization and Reduction of Related Transactions, effective as of
May 26, 2020, of ACM Research, Inc.
|
||
Commitment Letter Regarding the Avoidance of Funds Occupation and Illegal Guarantee, effective as of May
26, 2020, of ACM Research, Inc.
|
||
10.11*†
|
|
Statement and Commitment Letter, effective as of May 26, 2020, of ACM Research, Inc.
|
Commitment Letter Regarding Property Lease Matters, effective as of May 26, 2020, of ACM Research, Inc.
|
||
Commitment Letter Regarding Social Insurance and Housing Provident Fund Matters, effective as of May 26,
2020, of ACM Research, Inc.
|
||
Commitment Letter Regarding Foreign Exchange Matters, effective as of May 26, 2020, of ACM Research,
Inc.
|
||
Confirmation and Commitment Letter Regarding the Historical Evolution Related Matters Regarding ACM
Research (Shanghai), Inc., effective as of May 26, 2020, of ACM Research, Inc.
|
||
Confirmation Letter, effective as of May 26, 2020, of ACM Research, Inc.
|
||
99.01*†
|
|
Preliminary Information Document of ACM Research (Shanghai), Inc.
|
ACM RESEARCH, INC.
|
||
By:
|
/s/ Mark McKechnie
|
|
Mark McKechnie
|
||
Chief Financial Officer and Treasurer
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: May 21, 2020
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
/s/ Hui Wang
|
|
HUI WANG
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
/s/ Hui Wang
|
|
HUI WANG
|
/s/ Luo Qianli
|
/s/ Haiping Dun
|
/s/ Stephen Sun-Hai Chiao
|
Luo Qianli
|
HAIPING DUN
|
STEPHEN SUN-HAI CHIAO
|
/s/ Wang Jian
|
/s/ Lisa Yi Lu Feng
|
/s/ Sotheara Cheav
|
Wang Jian
|
LISA YI LU FENG
|
SOTHEARA CHEAV
|
/s/ Chen Fuping
|
/s/ Luo Mingzhu
|
|
Chen Fuping
|
Luo Mingzhu
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
/s/ Hui Wang
|
|
HUI WANG
|
|
Date: Year Month Day |
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
|
|
Date: May 21, 2020
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
/s/ Hui Wang
|
|
HUI WANG
|
|
Date: Year Month Day |
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: May 21, 2020
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
Company name
|
Shareholding ratio
(%)
|
NINEBELL CO., LTD
|
20
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: May 21, 2020
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
ACM RESEARCH, INC.
|
|
Signature: /s/ Hui Wang
|
|
Name: HUI WANG
|
|
Title: Authorized representative
|
|
Date: Year Month Day
|
The shares in the Offering intends to be listed on the STAR Market, which has high investment risks. The listed companies on the STAR Market have the characteristics of large investment in research and development, high risk of
operation, unstable performance and high risk of delisting, where Investors are facing high market risks. Investors should fully understand the investment risks of the STAR Market and the risk factors disclosed by the Company, and make
investment decisions prudently.
|
The application for the offering of the Company has yet not been approved by Shanghai Stock Exchange and the CSRC. This [***] does not have the legal force for stock issue, which is used only for advance
disclosure purpose. Investors shall make investment decisions based on the officially announced [***].
|
Class of Shares
|
RMB Common Shares (Class A Shares)
|
Number of Shares in
the Offering
|
The number of shares offered in the Public Offering shall not exceed 43,355,800, accounting for at least 10.00% of the total share capital of the Company after the Offering. The Offering does
not involve any public offering of shares by shareholders.
|
Par Value per Share
|
1.00 Yuan
|
Offering Price per
Share
|
[ ] Yuan
|
Estimated Offering
Date
|
[MM][DD][YY]
|
The Stock Exchange
and Board for Listing
|
the STAR Market of the Shanghai Stock Exchange
|
Total Share Capital
after the Offering
|
Not more than 433,557,100 shares
|
Participation of the
Sponsor’s Relevant
Subsidiaries in
Strategic Placement
|
The Sponsor will arrange relevant subsidiaries to participate in the strategic placement in the Offering, which will be carried out in accordance with relevant regulations of the exchange. The
Sponsor and its relevant subsidiaries will further clarify the specific plan of participating in the strategic placement in the Offering and submit relevant documents to the exchange as required.
|
Sponsor (Lead
Underwriter)
|
[***]
|
Co-lead Underwriter
|
[***]
|
Signing Date
|
[MM][DD], 2020
|
SECTION I INTERPRETATIONS
|
11
|
SECTION II OVERVIEW
|
16
|
I. Overview of the Issuer and the Intermediaries
|
16
|
II. Overview of the Offering
|
17
|
III. Major Financial Data and Indicators for the Issuer’s Reporting Period
|
18
|
IV. Main Business and Operation of the Issuer
|
18
|
V. Technology Advancement, R&D Technology Industrialization and Future Development Strategies of the Issuer
|
20
|
VI.Listing Criteria Chosen by the Issuer
|
21
|
VII. Special Arrangements on the Issuer’s Corporate Governance
|
21
|
VIII.Use of the Fund Raised by the Issuer
|
21
|
SECTION III - OVERVIEW OF THE OFFERING
|
22
|
I. Basic Information of the Offering
|
22
|
II. Relevant Parties in the Offering
|
22
|
III. Relationship between the Issuer and Other Related Parties in the Offering
|
23
|
IV. Important Dates for the Offering
|
24
|
SECTION IV RISK FACTORS
|
24
|
I. Technical Risks
|
24
|
II. Operating Risks
|
25
|
III. Risks of Management and Internal Control
|
30
|
IV. Financial Risks
|
31
|
V. Legal Risks
|
33
|
VI. Risks of Offering Failure
|
34
|
VII. Risks of Investment Projects of Raised Funds
|
34
|
VIII. Risks of Adverse Effect on the Semiconductor Industry Caused by the Global COVID-19 Epidemic
|
35
|
IX. Relevant Risks of the Company and ACMR, the Controlling Shareholder, being Listed on the STAR Market and the NASDAQ Stock Market Respectively
|
36
|
X. Other Risks
|
36
|
SECTION V - OVERVIEW OF THE ISSUER
|
37
|
I. Overview of the Issuer
|
37
|
II. Establishment and Reorganization of the Issuer
|
37
|
III.Equity Structure of the Issuer
|
44
|
IV. Majority Owned Subsidiaries and Equity Participation Companies of the Issuer
|
44
|
V. Basic Information of Major Shareholders Holding More than 5% of the Shares and the Actual Controller
|
49
|
VI. Share Capital of the Issuer
|
53
|
VII. Brief Information of Directors, Supervisors, Senior Managers and Core Technicians
|
73
|
VIII. Agreements between the Company and any of Directors, Supervisors, Senior Managers and Key technicians and their Performance
|
79
|
IX. Changes in Directors, Supervisors, Senior Managers and Key technicians of the Company within the Last Two Years
|
79
|
X. External Investments Made by Directors, Supervisors, Senior Managers and Key Technicians of the Company
|
80
|
XI. Shares Held by Directors, Supervisors, Senior Managers and Key technicians of the Company and their Immediate Relatives
|
81
|
XII. Information on Remuneration of Directors, Supervisors, Senior Managers and Key Technicians of the Company
|
83
|
XIII. Equity Incentives and Relevant Arrangements of the Issuer prior to this Offering
|
84
|
XIV. Employees of the Issuer and their Social Securities
|
88
|
SECTION VI BUSINESS AND TECHNOLOGY
|
90
|
Ⅰ. Main Business and Main Products of the Issuer
|
90
|
Ⅱ. The basic situation and competition condition of the issuer’s industry
|
100
|
III. Issuer’s Sales and Main Customers
|
134
|
IV. Issuer’s Procurement and Main Suppliers
|
137
|
V. Situation of Key Resource Elements, Such as Fixed Assets, Intangible Assets, ETC. That Have a Major Impact on Main Business
|
142
|
VI. Core Technologies of the Issuer
|
149
|
VII. Overseas Operation of the Issuer
|
169
|
SECTION VII CORPORATE GOVERNANCE AND INDEPENDENCE
|
169
|
I. Establishment, Perfection and Operation of Systems of General Meeting of Shareholders, Board of Directors, Supervisory Board, Independent Directors, Secretary of Board of Directors,
Special Committees of Board of Directors
|
169
|
II. Shares with Special Voting Rights of the Issuer
|
173
|
III. Structure of Contractual Control of the Issuer
|
173
|
IV. Self-appraisal of the Management and Certification Opinions of Certified Public Account on Internal Control
|
173
|
V. Funds Occupancy and External Securities of the Issuer
|
173
|
VI. Violations of Laws or Regulations by the Issuer
|
174
|
VII. Independent and Continuous Operation of the Issuer Directed to the Market
|
175
|
VIII. Horizontal Competition
|
177
|
IX. Related Party and Related Relationship
|
178
|
X. Related Transactions
|
185
|
XI. Decision-making Procedures and Opinions of Independent Directors of Related Transactions during the Reporting Period
|
194
|
XII. Changes in Related Parties
|
195
|
SECTION VIII FINANCIAL ACCOUNTING INFORMATION AND MANAGEMENT ANALYSIS
|
196
|
I. Audited Financial Statements
|
196
|
II. Preparation Basis of Financial Statements and Scope of Consolidated Statements
|
204
|
III. Audit Opinion of Certified Public Accountant
|
205
|
IV. Key Audit Matters and Judgment Criteria for Materiality Related to Financial Accounting Information
|
205
|
V. Potential Specific Impacts on or Risks to Issuer’s Future Profitability (Operation) or financial position
|
206
|
VI. Significant Accounting Policies and Accounting Estimates Adopted in the Reporting Period
|
208
|
VII. Applicable Tax Rate and Main Fiscal and Tax Preferential Policies
|
226
|
VIII. Segment Information
|
228
|
IX. Non-recurring Profits or Losses
|
228
|
X. Main Financial Indicators
|
229
|
XI. Operating Results Analysis
|
231
|
XII. Asset Quality and Solvency Analysis
|
252
|
XIII. Dividend Distribution Policy
|
275
|
XIV. Cash Flow Analysis
|
275
|
XV. Capital Expenditure Analysis
|
278
|
XVI. Analysis of Going Concern Capability
|
278
|
XVII. Major Equity Acquisition and Merger
|
278
|
XVIII. Subsequent Matters, Contingencies, Other Important Matters, Major Guarantees and Litigation Matters
|
279
|
XIX. Profit Forecast
|
279
|
SECTION IX UTILIZATION OF RAISED FUNDS AND FUTURE DEVELOPMENT PLAN
|
280
|
I. Overview of Investment Projects with Raised Funds
|
280
|
II. Utilization of Raised Funds
|
285
|
III. Explanation on the Newly Acquired Land or Real Estate Involved in the Use of Raised Funds
|
293
|
IV. Strategic Planning of the Company
|
293
|
SECTION X INVESTOR PROTECTION
|
296
|
I. Main Arrangements by the Issuer on Investor Relations
|
296
|
II. Dividend Distribution Policy of the Issuer
|
297
|
III. Distribution Policy of Accumulated Profits before the Offering
|
300
|
IV. Voting Mechanism for Shareholders of the Issuer
|
300
|
V. Important Commitments Made by Relevant Parties to the Offering and Their Fulfillment
|
301
|
SECTION XI OTHER IMPORTANT MATTERS
|
319
|
I. Material Contracts
|
319
|
II. External Guarantee
|
323
|
III. Litigation and Arbitration
|
323
|
SECTION XII STATEMENTS
|
324
|
I. Statement by All Directors, Supervisors and Senior Executives of the Issuer
|
324
|
II. Statement by the Issuer’s Controlling Shareholder
|
325
|
III. Statement by the Issuer’s De Facto Controller
|
325
|
IV. Statement (I) by the Sponsor (Lead Underwriter)
|
326
|
IV. Statement (II) by the Sponsor (Lead Underwriter)
|
326
|
V. Statement by the Co-lead Underwriter
|
327
|
VI. Statement by the Issuer’s Lawyer
|
327
|
VII. Statement by the Audit Institution
|
328
|
VIII. Statement by the Asset Appraisal Agency
|
328
|
IX. Statement by the Capital Verification Institution
|
329
|
SECTION XIII ATTACHMENTS
|
329
|
I. Documents for Future Reference
|
329
|
II. Access to Documents for Future Reference
|
329
|
SCHEDULE I: IMPORTANT PATENTS
|
330
|
SCHEDULE II: IMPORTANT TRADEMARKS
|
341
|
I. Basic Terms
|
||
Issuer, Company, the
Company
|
means
|
ACM Research (Shanghai), Inc. and its predecessor ACM Research (Shanghai), Inc.(before restructuring)
|
Corporation, ACMSH
|
means
|
ACM Research (Shanghai), Inc.
|
ACMSH (before
restructuring)
|
means
|
ACM Research (Shanghai), Inc., the predecessor of the Issuer
|
ACM Wuxi
|
means
|
ACM Research (Wuxi), Inc., a wholly-owned subsidiary of the Issuer
|
Shengwei Shanghai
|
means
|
Shengwei Semiconductor Equipment (Shanghai) Co., Ltd., a wholly-owned subsidiary of the Issuer
|
CleanChip HK
|
means
|
CleanChip Technologies Limited, a wholly-owned subsidiary of the Issuer
|
ACMKR
|
means
|
ACM Research Korea Co., Ltd., a wholly-owned subsidiary of CleanChip HK
|
ACM CA
|
means
|
ACM Research (CA), Inc., a wholly-owned subsidiary of CleanChip HK
|
Shengyi Technology
|
means
|
Shengyi Semiconductor Technology (Wuxi) Co., Ltd., an equity participation enterprise of the Issuer
|
Shixi Chanheng
|
means
|
Hefei Shixi Chanheng Integrated Circuit Venture Capital Fund (L.P.), an equity participation enterprise of the Issuer
|
ACMR
|
means
|
ACM Research, Inc., a Nasdaq stock market listed company and the controlling shareholder of the Issuer
|
Xinwei Consulting
|
means
|
Xinwei (Shanghai) Management Consulting Partnership (L.P.), a shareholder of the Issuer
|
SICIF
|
means
|
Shanghai Integrated Circuit Industry Fund Co., Ltd., a shareholder of the Issuer
|
PDHTI
|
means
|
Shanghai Pudong High-tech Investment Co., Ltd., a shareholder of the Issuer
|
HTXC
|
means
|
Jiaxing Haitong Xuchu Private Equity Fund (L.P.), a shareholder of the Issuer
|
Shangrong Innovation
|
means
|
Shangrong Innovation (Ningbo) Equity Investment Center (L.P.), a shareholder of the Issuer
|
Jinpu Investment
|
means
|
Shanghai Jinpu Lingang Intelligent Technology Private Equity Investment Fund (L.P.), a shareholder of the Issuer
|
Taihu Guolian
|
means
|
Wuxi Taihu Guolian Emerging Industry Investment Enterprise (L.P.), a shareholder of the Issuer
|
Xinshi Consulting
|
means
|
Xinshi (Shanghai) Management Consulting Partnership (L.P.), a shareholder of the Issuer
|
Yongkong Consulting
|
means
|
Shanghai Yongkong Business Information Consulting Partnership (L.P.), a shareholder of the Issuer
|
Hai Feng Investment
|
means
|
Hai Feng Investment Holding Limited, a shareholder of the Issuer
|
Runguang Investment
|
means
|
Hefei Runguang Equity Investment Partnership (L.P.), a shareholder of the Issuer
|
ZJTVC
|
means
|
Shanghai Zhangjiang Science and Technology Venture Capital Co., Ltd., a shareholder of the Issuer
|
SYEM
|
means
|
Shanghai Shanyi Enterprise Management Center (L.P.), a shareholder of the Issuer
|
Xingang Consulting
|
means
|
Xingang (Shanghai) Management Consulting Partnership (L.P.), a shareholder of the Issuer
|
SRJY
|
means
|
Shanghai Shangrong Juyuan Equity Investment Center (L.P.), a shareholder of the Issuer
|
Shengxin Shanghai
|
means
|
Shengxin (Shanghai) Enterprise Management Consulting Partnership (L.P.)
|
Zhangjiang Group
|
means
|
Shanghai Zhangjiang (Group) Co., Ltd.
|
Yangtze Memory
|
means
|
Yangtze Memory Technologies Co., Ltd., a customer of the Issuer
|
SMIC
|
means
|
Semiconductor Manufacturing International Corporation, a customer of the Issuer
|
Hynix
|
means
|
SK Hynix Inc., a customer of the Issuer
|
Hefei Changxin
|
means
|
Hefei Changxin Integrated Circuit Manufacture Co., Ltd., a customer of the Issuer
|
Huahong Group
|
means
|
Shanghai Huahong (Group) Co., Ltd., a customer of the Issuer
|
JCET
|
means
|
Jiangsu Changjiang Electronics Technology Co., Ltd., a customer of the Issuer
|
TFME
|
means
|
Tongfu Microelectronics Co., Ltd., a customer of the Issuer
|
SJsemi
|
means
|
SJ Semiconductor (Jiangyin) Limited, a customer of the Issuer
|
Nepes
|
means
|
Nepes corporation, a customer of the Issuer
|
Wafer Works
|
means
|
Wafer Works Corporation, a customer of the Issuer
|
JRH
|
means
|
Zhejiang QL Electronics Co., Ltd., a customer of the Issuer
|
ZING SEMI
|
means
|
Zing Semiconductor Corporation, a customer of the Issuer
|
PSI
|
means
|
Phoenix Silicon International Corporation, a customer of the Issuer
|
NCAP
|
means
|
National Center for Advanced Packaging Co., Ltd., a customer of the Issuer
|
ICRD
|
means
|
Shanghai IC R&D Center Co., Ltd., a customer of the Issuer
|
NINEBELL
|
means
|
NINEBELL Co., Ltd., a supplier of the Issuer
|
NOMURA
|
means
|
NOMURA MICRO SCIENCE CO., LTD., a supplier of the Issuer
|
Charter Base
International
|
means
|
Charter Base International Logistics (Shanghai) Co., Ltd.
|
DNS
|
means
|
SCREEN Holdings Co., Ltd.
|
TEL
|
means
|
Tokyo Electron Ltd.
|
LAM
|
means
|
LAM Research Corporation
|
SEMES
|
means
|
SEMES Co. Ltd.
|
NAURA
|
means
|
NAURA Technology Group Co., Ltd.
|
KINGSEMI
|
means
|
KINGSEMI Co., Ltd.
|
PNC System
|
means
|
PNC Process System Co., Ltd.
|
AMEC
|
means
|
Advanced Micro-Fabrication Equipment Inc.
|
HZCCTECH
|
means
|
Hangzhou Changchuan Technology Co., Ltd.
|
ASML
|
means
|
ASML Holding N.V.
|
KLA
|
means
|
KLA Corporation
|
Applied Materials
|
means
|
Applied Materials, Inc.
|
MOST
|
means
|
Ministry of Science and Technology of the People’s Republic of China
|
MIIT
|
means
|
Ministry of Industry and Information Technology of the People’s Republic of China
|
NDRC
|
means
|
National Development and Reform Commission of the People’s Republic of China
|
MOF
|
means
|
Ministry of Finance of the People’s Republic of China
|
CSRC
|
means
|
China Securities Regulatory Commission
|
SASAC
|
means
|
State-owned Assets Supervision and Administration Commission of the State Council
|
The Company Law
|
means
|
The Company Law of the People’s Republic of China
|
The Securities Law
|
means
|
The Securities Law of the People’s Republic of China
|
The Articles of
Association
|
means
|
The Articles of Association of ACM Research (Shanghai), Inc.
|
The Articles of
Association (Draft)
|
means
|
The Articles of Association of ACM Research (Shanghai), Inc. (Draft) applicable to the Issuer after the Offering
|
NASDAQ
|
means
|
National Association of Securities Dealers Automated Quotations, the NASDAQ stock market
|
Sponsor, Lead
Underwriter, [***]
|
means
|
[***]
|
Co-lead Underwriter
|
means
|
[***]
|
Issuer’s Lawyer; King &
Wood
|
means
|
King & Wood Mallesons
|
Reporting Accountant,
Lixin
|
means
|
BDO CHINA SHU LUN PAN Certified Public Accountants LLP
|
Appraisal Agency, China
United Appraisal
|
means
|
China United Assets Appraisal Group Co., Ltd.
|
Offering
|
means
|
the public offering of 43,355,800 shares
|
Reporting Period
|
means
|
the three years of 2017, 2018 and 2019
|
Yuan, RMB 10,000 Yuan
|
means
|
RMB Yuan and RMB RMB 10,000 Yuan
|
II. Technical Terms
|
||
Semiconductors
|
mean
|
materials which have an intermediate conductivity between that of conductors and insulators at room temperature, which can be divided into integrated circuits (IC), discrete devices,
optoelectronics and sensors in accordance with manufacturing technology. Semiconductors can be widely used in downstream communications, computers, consumer electronics, network technology, automobile, aerospace and other industries.
|
Silicon Slice
|
means
|
Silicon Wafer, a silicon slice of semiconductor used for the fabrication of semiconductor devices such as integrated circuits, discrete devices and sensors.
|
IC
|
means
|
Integrated Circuit, a circuit or system that uses a series of specific processing techniques to interconnect active components such as transistors and diodoes and passive originals such
resistors and capacitors, integrate them on a semiconductor wafer according to a certain circuit, and package them in a shell to achieve specific functions.
|
Wafer
|
means
|
the Silicon Slice during the specific processing such as oxidation/diffusion, photolithography, etching, ion implantation, film growth, cleaning and polishing, metallization, etc.
|
Fab
|
means
|
a manufacturer that produces semiconductor devices on silicon wafers through a series of specific processing techniques.
|
Chip
|
means
|
an IC carrier, and also the result of design, manufacturing, packaging, and testing of IC.
|
Graphic Wafer
|
means
|
a wafer with a patterned structure on its surface.
|
Wafer Fabrication, Chip
Fabrication
|
means
|
the process of manufacturing semiconductor silicon wafers into chips through a series of specific processing technology, which are divided into front wafer manufacturing and back packaging
testing.
|
IDM
|
means
|
Integrated Device Manufacture, i.e., an Integrated Device Manufacturer completes the entire industrial chain from integrated circuit design, wafer manufacturing to test and packaging.
|
Storage Device
|
means
|
a memory device in an electronic system for holding programs and data.
|
Sensor
|
means
|
a detection device, which can feel the information measured and convert it to an electrical signal or information output in any other required form according to a certain law, thus to meet the
information transmission, processing, storage, display, record and control requirements.
|
Power Device
|
means
|
a large-power electronic device for power conversion and control circuits in power equipment.
|
Discrete Device
|
means
|
a semiconductor device having a fixed single characteristic and function.
|
NAND Flash Memory
|
means
|
flash memory/data storage type flash memory.
|
5G
|
means
|
5th-Generation, i.e., the Fifth Generation of Mobile Phone Mobile Communications Standards.
|
Photoetching
|
means
|
a process in which the circuit graphics are transferred to the surface of a single crystal or dielectric layer to form effective graphics windows or functional graphics by using the
optical-chemical reaction principle and chemical and physical etching methods.
|
Etching
|
means
|
the process of selectively removing unwanted material from a silicon surface by chemical or physical means, which is one of the main processes for photolithographic processing and a key step
in semiconductor manufacturing.
|
Gluing
|
means
|
the process of applying photoresist evenly to the surface of a wafer.
|
Developing
|
means
|
the process of imaging the exposed wafer, by which the graphics imaged on the optical resistance are displayed.
|
CVD
|
means
|
Chemical Vapor Deposition
|
PVD
|
means
|
Physical Vapor Deposition
|
LPCVD
|
means
|
Low Pressure Chemical Vapor Deposition
|
ALD
|
means
|
Atomic Layer Deposition, a method that can plate the substance layer by layer in the form of monatomic film.
|
DRAM
|
means
|
Dynamic Random-Access Memory
|
RAM
|
means
|
Random Access Memory, a semiconductor memory.
|
LCD
|
means
|
Liquid Crystal Display
|
MEMS
|
means
|
Mechanical System
|
MOCVD
|
means
|
Metal-organic Chemical Vapor Deposition.
|
CMP
|
means
|
Chemical Mechanical Polishing, to make the surface of a wafer completely flat or flattened.
|
SFP
|
means
|
Stress Free Polish, a technology that uses the principle of electrochemical reaction to abandon the mechanical pressure of the polishing process during the process of discarding the metal film on the surface
of the wafer to eliminate the damage of the mechanical pressure on the metal wiring.
|
VOC
|
means
|
Volatile Organic Compounds.
|
Pa
|
means
|
The unit of pressure pascal, referred to as Pa.
|
Dielectric Substance
|
means
|
all materials that can be polarized under the action of an external electric field, which usually present their electrical properties in the form of induction rather than conduction in the electric field.
|
Precursor Chemicals
|
means
|
a chemical that can be mutated into another chemical or used to make another chemical.
|
Yield
|
means
|
the percentage of the number of circuits whose test results are good accounting for the total number of circuits under test after the circuits under test have gone through all test procedures.
|
Front-End, Back-End
|
means
|
the front-end process and the back-end process in the manufacture of the semiconductor devices, with the front-end process mainly including Photoetching, Etching, cleaning, ion implantation, chemical
machinery flat etc. and the back-end process mainly including routing, Bonder, FCB, BGA reballing, inspection, testing etc.
|
Packaging
|
means
|
the process of wrapping a small piece of material (such as a Chip) in a support housing during the final phase of Semiconductor development to prevent physical damage and corrosion and to allow the Chip to be
connected to a circuit board.
|
Advanced Packaging
|
means
|
cutting-edge Packaging forms and technologies. At present, Packaging with Flip Chip (FC) structure, Wafer Level Packaging (WLP), System in a Package (SIP), 2.5D Packaging and 3D Packaging etc.
are considered to belong to the category of Advanced Packaging
|
FC
|
means
|
Flip Chip, a DFN structure generally containing circuit units, which is designed to be electrically and mechanically connected to a circuit by an appropriate number of solder balls on its
surface (covered by a conductive adhesive).
|
WLP
|
means
|
Wafer Level Packaging, reducing the size of the Packaging to the size of an integrated circuit Chip and the fact that it can be made in batches as a wafer reduces the cost of the Packaging.
|
SIP
|
means
|
System in a Package, which integrates a variety of functional Chips, including processors, memory and other functional Chips in a package, so as to achieve a basic complete function.
|
3D Packaging
|
means
|
the Packaging technology in which more than two Chips are stacked vertically in the same packaging body without changing the size of the package body, with the main characteristics of
multi-function, high efficiency, large capacity, high density, diploid increase of the functions and applications in unit volume and low cost.
|
Fan-out
|
means
|
a wafer reconstruction technology, by which the Chip is re-embedded on the wafer, and then the Packaging is carried out according to the steps similar to the standard WLP process. The actual
package area is larger than the chip area, and other active devices and passive components can be added to form SIP when the area is expanded.
|
Under Bump Metal,
UBM
|
means
|
the metal transition layer between the solder pad and the solder ball, which is located on top of the wafer passivation layer. There are very good adhesion characteristics between UBM and the
metallized layer on the wafer and UBM also has good wetting characteristics with the solder ball, which acts as the diffusion layer of solder between the welding ball and IC metal welding pad. As an oxidation barrier layer, UBM also plays a
role in protecting the Chip.
|
UBM/RDL Technology
|
means
|
the Under-Bump Metal/redistribution layer technology, by which the undercut can be minimized while removing the barrier layer and seed layer, and the time to complete the etching step can be
controlled and accurately monitored thus to reduce the undercut and ensure the critical feature (line or bump) size.
|
Pillar Bump
|
means
|
pillar bumps.
|
FinFET
|
means
|
Fin Field-Effect Transistor, a new complementary metal-oxide semiconductor transistor, which can improve circuit control, reduce leakage current and
shorten the gate length of the transistor.
|
SC-1 Solution
|
means
|
Standard Clean 1, a solution prepared by mixing a certain proportion of ammonia water, hydrogen peroxide and water and used for cleaning semiconductor silicon wafers.
|
ppm
|
means
|
Parts per million, a concentration expressed in parts per million of the mass of the solute in the total solution mass, also known as parts per million concentration.
|
IPA Drying
|
means
|
the process of using low surface tension and volatile characteristics of isopropyl alcohol (IPA) to replace the water with high surface tension on the surface of silicon wafers and then
blowing dry with nitrogen to completely dry the silicon water film.
|
PTFE
|
means
|
Poly Tetra FluoroEthylene, with the characteristics of anti-acid, anti-alkali, anti-various organic solvents, high temperature resistance and very low friction coefficient.
|
TSV
|
means
|
Through Silicon Vias, a three-dimensional (3D) vertical integration with through silicon vias (TSV) copper interconnect, which is currently considered to be one of the most advanced
technologies in the Semiconductor industry.
|
Bernoulli Chuck
|
means
|
a device that uses the Bernoulli aerodynamic suspension principle to suck the wafer on the chuck during wafer cleaning.
|
Robot Arm
|
means
|
an automatic operation device that can imitate some action functions of human hand and arm to grab and carry objects or to operate tools according to fixed procedures. The feature is that it
can complete various expected tasks through programming. The structure and performance of the device have the advantages of both human and manipulator machines.
|
SAPS Cleaning
Technology
|
means
|
Space Alternative Phase Shift technology, which utilizes megasonic alternating phases to provide megasonic energy to the flat and patterned wafer surfaces in a highly uniform manner at the
microscopic level, effectively removing random defects throughout the wafer and reducing the use of chemicals.
|
TEBO Cleaning
Technology
|
means
|
Timely Energized Bubble Oscillation technology, which enables damage-free cleaning of patterned Chips through the use of a series of rapid pressure changes forcing the bubbles to oscillate in
the specific size and shape, precise and multiparameter control of cavitation of bubbles in the mega-frequency ultrasonic cleaning process, and avoidance of any damage to the patterns caused by transient cavitation in traditional supersonic
cleaning.
|
Tahoe Technology
|
means
|
the cleaning technology independently developed by the Issuer, which integrates the tank module and the single-chip module in a single wet cleaning equipment and has the advantages of both;
the cleaning effect and process applicability of the Tahoe cleaner can be compared with that of single-chip cleaner, can also greatly reduce the use of sulfuric acid, help customers reduce production costs and better comply with energy
conservation and environmental protection policies.
|
Damascus Process
|
means
|
a technique derived from the ancient Damascus craftsman, in which the dielectric layer is first etched with a film of a metal conductor and then filled with metal, with the feature that the
metal layer does not need to be etched.
|
Process, Node and
Manufacturing Procedure
|
means
|
the size of the transistor gate width, used to measure the level of semiconductor chip manufacturing.
|
Moore’s Law
|
means
|
the Moore’s Law put forward by Gordon Moore, i.e., the number of transistors on an integrated circuit doubles every 18 months, the corresponding performance doubles, and the cost drops by
half.
|
ECP
|
means
|
Electro Chemical Plating, the process of coating the surface of a wafer with a thin layer of other metals or alloys by using the electrolysis principle.
|
Mm
|
means
|
Millimeter, 10-3 meter, a unit used to describe the diameter of a Semiconductor Wafer.
|
μm
|
means
|
Micrometer, 10-6 meter
|
nm
|
means
|
Nanometer, 10-9 meter
|
Gartner
|
means
|
a leading research and consulting company in the field of IT. Its research scope covers the entire IT industry from the upstream hardware design and manufacture and to the downstream terminal
applications.
|
WSTS
|
means
|
World Semiconductor Trade Statistics, a data statistics company in the semiconductor industry whose members include the world’s leading semiconductor manufacturers.
|
SEMI
|
means
|
Semiconductor Equipment and Materials International
|
VLSI Research
|
means
|
a leading research consultancy in the field of integrated circuits and pan-semiconductors, providing market research and economic analysis on technology, business and economics for the semiconductor industry
chain. It rates and ranks the world’s IC and pan-semiconductor manufacturing and equipment companies annually.
|
Yole
|
means
|
Yole Dévelopment, which provides market research, technology analysis, strategy consulting, targeted media and financial advisory services.
|
(I) Basic Information of the Offering
|
|||
Class of Shares
|
RMB Common Shares (A Shares)
|
||
Par Value per Share
|
RMB 1.00 Yuan
|
||
Number of Shares in the
Offering
|
No more than 43,355,800 shares
|
Proportion in the Total Share Capital after the Offering
|
No less than 10.00%
|
Thereinto: Number of
New Shares
|
No more than 43,355,800 shares
|
Proportion in the Total Share Capital after the Offering
|
No less than 10.00%
|
Number of Shares Offered
by Shareholders
|
-
|
Proportion in the Total Share Capital after the Offering
|
-
|
Total Share Capital after
the Offering
|
No more than 433,557,100 shares
|
||
Offering Price per Share
|
RMB [ ] Yuan
|
||
Offering P/E
|
[ ] Times
|
||
Net Asset Value per Share
Prior to the Offering
|
RMB [ ] Yuan/Share
|
Earnings per Share Prior to the Offering
|
-
|
Net Asset Value per Share after the Offering
|
[ ]
|
Earnings per Share after the Offering
|
[ ]
|
Offering P/B
|
[ ] Times
|
||
Pricing Mode
|
The Issuer and the Lead Underwriter make inquiries to professional institutional investors registered with the Securities Association of China such as securities companies, fund management
companies, trust companies, finance companies, insurance companies, qualified foreign institutional investors and private equity fund managers to determine the offering price of the shares.
|
||
Offering Mode
|
The combination mode of offline inquiry placing to inquiry objects and online fund subscription for offering, or any other offering mode approved by the securities regulatory authorities will
be adopted.
|
||
Offering Targets
|
Qualified inquiry objects and natural persons, legal persons and other investors opening accounts on the STAR Market of the Shanghai Stock Exchange (other than purchasers prohibited by
national laws and regulations)
|
Underwriting Mode
|
Stand-by Underwriting
|
||
Name of Shareholders
Proposing to Offer Shares
to the Public
|
N/A
|
||
Principle of Offering
Expenses Sharing
|
-
|
||
Total Fund Raised
|
RMB[ ] Yuan
|
||
Net Fund Raised
|
RMB[ ] Yuan
|
||
Projects to be Invested by
the Fund Raised
|
[ ]
|
||
[ ]
|
|||
Estimated Offering
Expenses
|
Sponsor and underwriting fee amounting to RMB [ ] Yuan; Audit and capital verification fee amounting to RMB [ ] Yuan; Lawyer’s fee amounting to RMB[ ] Yuan; Appraisal fee amounting to
RMB [ ] Yuan; Offering fees in total amounting to RMB[ ] Yuan
|
||
(II) Important Dates for the Offering
|
|||
Date for Publication of the
Offering
|
[ ]
|
||
Date to Start Inquiry
Recommendation
|
[ ]
|
||
Date for Publication of
Pricing Notice
|
[ ]
|
||
Subscription Date and
Payment Date
|
[ ]
|
||
Listing Date
|
[ ]
|
Item
|
December 31, 2019
|
December 31,
2018
|
December 31,
2017
|
Total Assets (RMB 10,000 Yuan)
|
130,800.15
|
63,602.25
|
32,091.98
|
Owner’s Equity Attributable to
Parent Company (RMB 10,000
Yuan)
|
82,992.90
|
14,504.75
|
4,835.86
|
Debt Asset Ratio (Parent
Company)
|
32.56%
|
76.34%
|
84.86%
|
Item
|
2019
|
2018
|
2017
|
Operating Income (RMB 10,000
Yuan)
|
75,673.30
|
55,026.91
|
25,358.73
|
Net Profit (RMB 10,000 Yuan)
|
13,488.73
|
9,253.04
|
1,086.06
|
Net Profit Attributable to the
Shareholders of the Issuer (RMB
10,000 Yuan)
|
13,488.73
|
9,253.04
|
1,086.06
|
Net Profit Attributable to the
Parent Company after Deduction
of Non-recurring Profit and Loss
(RMB 10,000 Yuan)
|
13,047.50
|
7,140.06
|
-229.84
|
Basic Earnings per Share (RMB
1 Yuan)
|
0.36
|
N/A
|
N/A
|
Diluted Earnings per Share
(RMB 1 Yuan)
|
0.36
|
N/A
|
N/A
|
Weighted Average Return on
Equity (%)
|
34.22
|
137.72
|
26.36
|
Net Cash Flow from the
Operating Activities (RMB
10,000 Yuan)
|
7,270.65
|
3,881.03
|
-899.23
|
Cash Dividends (RMB 10,000
Yuan)
|
-
|
-
|
-
|
R & D Expenditure as a
Percentage of Operating Income
(%)
|
13.12
|
14.43
|
20.57
|
No.
|
Field of the Customer
|
Names of Customers
|
1
|
Wafer Fabrication
|
Hynix, Huahong Group, Yangtze Memory, SMIC, Hefei Changxin
|
2
|
Advanced Packaging
|
JCET, TFME, SJsemi, Nepes
|
3
|
Semiconductor Wafer
Manufacturing and Recycling
|
ZING SEMI, JRH, Wafer Works, PSI
|
4
|
Scientific Research Institutions
|
Institute of Microelectronics of the Chinese Academy of Sciences, Shanghai IC R&D Center Co., Ltd., NCAP
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Ratio
|
Amount
|
Ratio
|
Amount
|
Ratio
|
|
Semiconductor
Cleaning
Equipment
|
62,522.30
|
84.10%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
Thereinto:
Single-wafer
Cleaning
Equipment
|
55,099.52
|
74.12%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
Wet Bench
Cleaning
Equipment
|
4,801.36
|
6.46%
|
-
|
-
|
-
|
-
|
Single Wafer
Wet Bench
|
2,621.43
|
3.53%
|
-
|
-
|
-
|
-
|
Combined
Cleaning
Equipment
|
||||||
Semiconductor
Electro-plating
Equipment
|
7,857.39
|
10.57%
|
1,191.13
|
2.21%
|
-
|
-
|
Advanced
Packaging Wet
Processing
Equipment
|
3,961.12
|
5.33%
|
2,634.07
|
4.88%
|
3,421.33
|
13.73%
|
Total
|
74,340.81
|
100.00%
|
53,961.17
|
100.00%
|
24,913.81
|
100.00%
|
Ranking
|
Name of Enterprise
|
1
|
AMEC
|
2
|
NAURA
|
3
|
CETC Electronics Equipment Group Co., Ltd.
|
4
|
ACMSH
|
5
|
KINGSEMI
|
No.
|
Investment Orientation of the Raised Fund
|
Total
Investment
|
Amount of the Raised
Fund to be Used
|
1
|
ACMSH Equipment R&D and Manufacturing Center
|
[***] |
[***]
|
2
|
ACMSH High-end Semiconductor Equipment R&D Project
|
[***]
|
[***]
|
3
|
To Supplement Liquidity
|
[***]
|
[***]
|
Total
|
[***]
|
[***]
|
(I) Class of Shares:
|
RMB Common Shares (A Shares)
|
(II) Par Value per Share:
|
RMB 1.00 Yuan
|
(III) Number of Shares in the
Offering:
|
The number of shares in the Public Offering shall not exceed 43.3558 million, accounting for at least 10.00% of the Company’s total share capital after the Offering, and the Offering does not
involve the public sale of shares by the shareholders of the Company.
|
(IV) Offering Price per
Share: |
RMB [ ] Yuan/Share, to be determined through making inquiries to the inquiry objects
|
(V) Participation of the
Issuer’s Senior Managers
and Employees in Strategic
Placement:
|
[ ]. After the CSRC completes the registration procedures for the Offering, the Issuer will convene a board meeting to review relevant matters.
|
(VI) Participation of the
Sponsor’s Subsidiaries in
Strategic Placement:
|
The Sponsor will arrange relevant subsidiaries to participate in the strategic placement in the Offering, which will be carried out in accordance with relevant regulations of the Shanghai
Stock Exchange. The Sponsor and its relevant subsidiaries will further clarify the specific plan for participating in the strategic placement in the Offering as required and submit relevant documents to the Shanghai Stock Exchange in
accordance with relevant provisions.
|
(VII) Offering P/E:
|
[ ] Times (calculated by the offering price dividing by the earnings per share; the earnings per share is calculated by dividing the lower of the audited net profits attributable to the
parent company before and after deducting non-recurring profit and loss in the year [ ] by the total share capital after the Offering)
|
(VIII) Net Asset Value per
Share Prior to the Offering:
|
RMB [ ] Yuan/Share (calculated by dividing the audited shareholder’s equity attributable to the parent company on the date of [MM][DD][YY] by the total share capital prior to the Offering)
|
(IX) Net Asset Value per
Share after the Offering:
|
RMB [ ] Yuan/Share (calculated by dividing the sum of the audited shareholder’s equity attributable to the parent company on the date of [MM][DD][YY] plus the net proceeds of the Offering
by the total share capital after the Offering)
|
(X) Offering P/B:
|
[ ] Times (calculated by dividing the offering price per share by the net assets per share after the Offering)
|
|
(XI) Offering Mode:
|
The combination mode of offline inquiry placing to inquiry objects and online fund subscription for offering, or any other offering mode approved by the securities regulatory authorities will
be adopted.
|
|
(XII) Offering Targets:
|
Qualified inquiry objects and natural persons, legal persons and other investors opening accounts on the STAR Market of the Shanghai Stock Exchange (other than purchasers prohibited by
national laws and regulations)
|
|
(XIII) Underwriting Mode:
|
Stand-by Underwriting
|
|
(XIV) Estimated Offering
Fees:
|
Sponsor and underwriting fee
|
RMB [ ] ×10,000 Yuan
|
Audit and capital verification fee
|
RMB [ ] ×10,000 Yuan
|
|
Lawyer’s fee
|
RMB [ ] ×10,000 Yuan
|
|
Appraisal fee
|
RMB [ ] ×10,000 Yuan
|
|
Offering fees in total
|
RMB [ ] ×10,000 Yuan
|
Date for Publication of the Offering
|
[MM][DD][YY]
|
Date to Start Inquiry Recommendation
|
[MM][DD][YY] - [MM][DD][YY]
|
Date for Publication of Pricing Notice
|
[MM][DD][YY]
|
Subscription Date and Payment Date
|
[MM][DD][YY] - [MM][DD][YY]
|
Listing Date
|
[MM][DD][YY]
|
I. |
Overview of the Issuer
|
Name:
|
盛美半导体设备(上海)股份有限公司
|
English Name:
|
ACM Research (Shanghai), Inc
|
Legal Representative:
|
HUI WANG
|
Share Capital:
|
RMB 390,201,347 Yuan
|
Date of Establishment:
|
May 17, 2005
|
Date of Overall Change:
|
November 21, 2019
|
Domicile:
|
Building 4, No.1690 Cailun Road, China (Shanghai) Pilot Free Trade Zone
|
Post Code:
|
201203
|
Telephone:
|
021-50808868
|
Fax:
|
021-50808860
|
Internet Address:
|
www.acmrcsh.com.cn
|
Email Address:
|
ir@acmrcsh.com
|
Information Disclosure
Department:
|
Board Office
|
Person in Charge of Information
Disclosure:
|
MINGZHU LUO
|
Telephone of the Information
Disclosure Department:
|
021-50276506
|
(I) |
Establishment of ACM Research (Shanghai), Inc.
|
No.
|
Name of
Shareholder
|
Subscribed Capital
Contribution (Ten
Thousand US Dollars)
|
Paid-up Capital
Contribution (Ten
Thousand US Dollars)
|
Proportion of
Shareholding
(%)
|
1
|
ACMR
|
120
|
18.0088
|
100
|
Total
|
120
|
18.0088
|
100
|
(II) |
Establishment of ACMSH
|
Item
|
2019/
December 31, 2019
|
2018/
December 31, 2018
|
2017/
December 31, 2017
|
Consolidated Financial Statements
|
|||
Operating Income
|
75,673.30
|
55,026.91
|
25,358.73
|
Net Profit
|
13,488.73
|
9,253.04
|
1,086.06
|
Undistributed Profit
|
6,559.47
|
-7,598.98
|
-16,852.02
|
Financial Statements of the Parent Company
|
|||
Operating Income
|
72,799.03
|
53,826.81
|
25,358.73
|
Net Profit
|
14,076.03
|
8,785.44
|
1,091.39
|
Undistributed Profit
|
6,724.45
|
-8,021.29
|
-16,806.73
|
Debit/Credit
|
Accounting Name
|
Amount
|
Debit
|
Paid-up Capital
|
37,264.98
|
Debit
|
Undistributed Profit
|
-1,416.88
|
Debit
|
Capital Reserves
|
19,440.89
|
Credit
|
Share Capital
|
37,264.98
|
Credit
|
Capital Reserves - Share Premium
|
18,024.01
|
(III) |
Changes in the Shareholders of the Issuer
|
|
1. |
Equity Structure of the Issuer at the Beginning of the Reporting Period
|
No.
|
Name of Shareholder
|
Subscribed Capital
Contribution (RMB
10,000 Yuan)
|
Paid-up Capital
Contribution (RMB
10,000 Yuan)
|
Proportion of
Shareholding (%)
|
1
|
ACMR
|
13,400.0000
|
13,400.0000
|
62.87
|
2
|
Shanghai Venture Capital Co., Ltd.
|
4,000.0000
|
4,000.0000
|
18.77
|
3
|
ZJTVC
|
1,615.1250
|
1,615.1250
|
7.58
|
4
|
PDHTI
|
2,297.3700
|
2,297.3700
|
10.78
|
Total
|
21,312.4950
|
21,312.4950
|
100.00
|
|
2. |
In August, 2017, the Second Equity Transfer of ACMSH
|
No.
|
Name of Shareholder
|
Subscribed
Capital
Contribution
(RMB 10,000
Yuan)
|
Paid-up Capital
Contribution
(RMB 10,000
Yuan)
|
Proportion of
Shareholding
(%)
|
1
|
ACMR
|
17,400.0000
|
17,400.0000
|
81.64
|
2
|
ZJTVC
|
1,615.1250
|
1,615.1250
|
7.58
|
3
|
PDHTI
|
2,297.3700
|
2,297.3700
|
10.78
|
Total
|
21,312.4950
|
21,312.4950
|
100.00
|
|
3. |
In November, 2017, the Third Equity Transfer of ACMSH
|
No.
|
Name of
|
Subscribed Capital
|
Paid-up Capital
|
Proportion of
|
Shareholder
|
Contribution (RMB
10,000 Yuan)
|
Contribution
(RMB 10,000
Yuan)
|
Shareholding
(%)
|
|
1
|
ACMR
|
21,312.4950
|
21,312.4950
|
100.00
|
Total
|
21,312.4950
|
21,312.4950
|
100.00
|
|
4. |
In May, 2019, the Fourth Capital Increase of ACMSH
|
No.
|
Name of
Shareholder
|
Subscribed Capital
Contribution (RMB
10,000 Yuan)
|
Paid-up Capital
Contribution
(RMB 10,000
Yuan)
|
Proportion of
Shareholding
(%)
|
1
|
ACMR
|
35,769.2308
|
35,769.2308
|
100.00
|
Total
|
35,769.2308
|
35,769.2308
|
100.00
|
|
5. |
In June, 2019, the Fifth Capital Increase of ACMSH
|
No.
|
Name of Shareholder
|
Subscribed Capital
Contribution
(RMB 10,000
Yuan)
|
Paid-up Capital
Contribution
(RMB 10,000
Yuan)
|
Proportion of
Shareholding
(%)
|
1
|
ACMR
|
35,769.2308
|
35,769.2308
|
95.99
|
2
|
Xinwei Consulting
|
475.6154
|
475.6154
|
1.28
|
3
|
HTXC
|
230.7692
|
230.7692
|
0.62
|
4
|
Jinpu Investment
|
192.3077
|
192.3077
|
0.52
|
5
|
Taihu Guolian
|
192.3077
|
192.3077
|
0.52
|
6
|
Xinshi Consulting
|
178.1923
|
178.1923
|
0.48
|
7
|
Hai Feng Investment
|
153.8462
|
153.8462
|
0.41
|
8
|
Xingang Consulting
|
72.7115
|
72.7115
|
0.20
|
Total
|
37,264.9808
|
37,264.9808
|
100.00
|
|
7. |
In November, 2019, the First Capital Increase of ACMSH
|
No.
|
Name of Shareholder
|
Quantity of Shares Held
(Ten Thousand Shares)
|
Proportion of
Shareholding (%)
|
1
|
ACMR
|
35,769.23
|
91.67
|
2
|
Xinwei Consulting
|
475.62
|
1.22
|
3
|
SICIF
|
461.54
|
1.18
|
4
|
PDHTI
|
461.54
|
1.18
|
5
|
HTXC
|
230.77
|
0.59
|
6
|
Shangrong Innovation
|
207.69
|
0.53
|
7
|
Jinpu Investment
|
192.31
|
0.49
|
8
|
Taihu Guolian
|
192.31
|
0.49
|
9
|
Xinshi Consulting
|
178.19
|
0.46
|
10
|
Yongkong Consulting
|
176.92
|
0.45
|
11
|
Hai Feng Investment
|
153.85
|
0.39
|
12
|
Runguang Investment
|
153.85
|
0.39
|
13
|
ZJTVC
|
153.85
|
0.39
|
14
|
SYEM
|
116.69
|
0.30
|
15
|
Xingang Consulting
|
72.71
|
0.19
|
16
|
SRJY
|
23.08
|
0.06
|
Total
|
39,020.13
|
100.00
|
|
(IV) |
Material Assets Reorganization during the Issuer's Reporting Period
|
(V) |
Listing of the Issuer in Other Securities Markets
|
(I) |
Majority Owned Subsidiaries
|
Name
|
清芯科技有限公司
|
English Name
|
CleanChip Technologies Limited
|
Address
|
FLAT/RM K 15/F, MG TOWER, 133 HOI BUN ROAD, KWUN TONG KL, HONGKONG
|
Legal Representative
|
HUI WANG
|
Registered Capital
|
10 Hong Kong Dollars
|
Date of Establishment
|
June 9, 2017
|
Main Business and Its
Relationship with Main
Business of the Issuer
|
Sales of Special Equipment for Semiconductors; Sales Platform of the Issuer’s Export Business
|
Shareholders
|
The Company holds its 100% equity interests.
|
Item
|
December 31, 2019/2019
|
Total Assets
|
35,226.43
|
Net Assets
|
-639.20
|
Net Profit
|
-1,053.14
|
Name
|
ACM Research (Wuxi), Inc.
|
Uniform Social Credit Code
|
91320214579450405R
|
Domicile
|
J1-6, Export Processing Zone, Wuxi New District
|
Legal Representative
|
HUI WANG
|
Registered Capital
|
RMB 5 million Yuan
|
Paid-in Capital
|
RMB 5 million Yuan
|
Date of Establishment
|
July 14, 2011
|
Type of Company
|
Limited Liability Company (Sole Proprietorship by Legal Person)
|
Business Scope
|
The design, production, processing of electronic special equipment and parts, sales of self-produced products, and provision of after-sales technical services and consulting services (for the above items
subject to the administrative permits, relevant permits shall be obtained for operation)
|
Main Business and Its
Relationship with
Main Business of the
Issuer
|
After-sales services for semiconductor equipment; providing after-sales services for some clients of the issuer
|
Shareholder
|
The Company holds its 100% equity interests.
|
Item
|
December 31, 2019/2019
|
Total Assets
|
510.56
|
Net Assets
|
441.72
|
Net Profit
|
-7.66
|
Name
|
Shengwei Semiconductor Equipment (Shanghai) Co., Ltd.
|
Uniform Social Credit
Code
|
91310115MA1HAJFA8M
|
Domicile
|
Building C, No.888 Huanhu West II Road, Nanhui New Town, Lingang New Area, China (Shanghai) Pilot Free Trade Zone
|
Legal Representative
|
HUI WANG
|
Registered Capital
|
RMB 5 million Yuan
|
Paid-in Capital
|
RMB 1 million Yuan
|
Date of Establishment
|
March 25, 2019
|
Type of Company
|
Limited Liability Company (Sole Proprietorship by WFOE Legal Person)
|
Business Scope
|
The technology development, technical services, technical consulting and technology transfer in the field of semiconductor equipment technology, the design and sales of electronic equipment and its parts, the
import and export of goods and technology. (for the above items subject to the administrative permits, relevant permits shall be obtained for operation)
|
Main Business and Its
Relationship with Main
Business of the Issuer
|
Intending to be engaged in research and development, production and sales of semiconductor special equipment; under construction, not yet carrying out any business.
|
Shareholder
|
The Company holds its 100% equity interests.
|
Item
|
December 31, 2019/2019
|
Total Assets
|
106.41
|
Net Assets
|
99.99
|
Net Profit
|
-0.01
|
Name
|
ACM Research Korea Co., LTD.
|
Registration No.
|
134411-0078948
|
Registered Address
|
No.402, 2106 Gyeongchung-daero, Bubal-eup, Icheon-si, Gyeonggi-do (Modern City Plaza)
|
CEO
|
YOUNG YOUL KIM
|
Registered Capital
|
KRW 100 Million
|
Number of Issued Shares
|
20,000 shares
|
Date of Establishment
|
December 5, 2017
|
Main Business and Its
Relationship with Main
Business of the Issuer
|
Research and development, production and sales of semiconductor special equipment; conducting research and development of semiconductor special equipment and the spare parts for the Issuer, and at the same
time, purchasing the spare parts of semiconductor special equipment for the Issuer
|
Shareholders
|
CleanChip HK holds its 100% equity interests.
|
Item
|
December 31, 2019/2019
|
Total Assets
|
1,914.77
|
Net Assets
|
226.87
|
Net Profit
|
21.23
|
Name
|
ACM Research (CA), Inc.
|
Address
|
42307 Osgood Road, Suite #I, ROOM B,Fremont, CA 94539
|
Director
|
JIAN WANG
|
Number of Shares Outstanding
|
10,000 shares
|
Main Business and Its
Relationship with Main Business
of the Issuer
|
Purchase and sales of the spare parts of semiconductor special equipment; purchasing the spare parts of semiconductor special equipment for the Issuer
|
Date of Establishment
|
April 5, 2019
|
Shareholder
|
CleanChip HK holds its 100% equity interests.
|
Item
|
December 31, 2019/2019
|
Total Assets
|
882.06
|
Net Assets
|
-17.70
|
Net Profit
|
-17.64
|
(II) |
Equity Participation Companies
|
|
1. |
Shengyi Technology
|
Name
|
Shengyi Semiconductor Technology (Wuxi) Co., Ltd.
|
Uniform Social Credit
Code
|
91320214MA1XD32R1A
|
Domicile
|
E2-111, China Sensor Network International Innovation Park, Xinwu District, Wuxi
|
Legal Representative
|
BEIYI WANG
|
Registered Capital
|
RMB 5 million Yuan
|
Type of Company
|
Limited Liability Company
|
Business Scope
|
Semiconductor technology development, technical services, technical consultation and technology transfer; Semiconductor equipment production, sales, installation, maintenance, testing; Sales of electronic
products, electrical and mechanical equipment, mechanical equipment and accessories, instrumentation, chemical raw materials and products (with the hazardous chemicals business permit), metal materials, environmental protection equipment,
metal products, rubber and plastic products, electrical and mechanical equipment, hardware and electrical equipment, building materials, chemical raw materials (except dangerous goods), fire-fighting equipment, packaging materials,
furniture supplies, office supplies, articles of daily use, cleaning supplies; Import and export of all kinds of commodities and technologies on its own behalf or on behalf of others (except for commodities and technologies whose import and
export are restricted or prohibited by the state). (For the above items subject to the administrative permits, relevant permits shall be obtained for operation)
|
Main Business
|
Production and sales of components and parts of semiconductor special equipment
|
Date of Establishment
|
October 29, 2018
|
No.
|
Name of
Shareholder
|
Subscribed Capital Contribution
(RMB 10,000 Yuan)
|
Proportion of
Contributions (%)
|
1
|
BEIYI WANG
|
425
|
85
|
2
|
ACMSH
|
75
|
15
|
Total
|
500
|
100
|
Item
|
December 31, 2019/2019
|
Total Assets
|
756.89
|
Net Assets
|
517.94
|
Net Profit
|
24.77
|
Name
|
Hefei Shixi Chanheng Integrated Circuit Venture Capital Fund (L.P.)
|
Uniform Social Credit Code
|
91340111MA2U3KUJ5C
|
Domicile
|
Room 6103, Haiheng Building, No.6 Cuiwei Road, Hefei Economic and Technological Development Area, Anhui Province
|
Managing Partner
|
Beijing Shixi Qingliu Investment Co., Ltd.
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Venture project investment; Venture capital investment consulting; Provision of entrepreneurial management services for enterprises. (For projects subject to approval according to law, business activities can
only be carried out after the approval of relevant departments)
|
Main Business
|
Venture capital investment, consulting and entrepreneurial management services
|
Date of Establishment
|
September 10, 2019
|
No.
|
Name of Partners
|
Subscribed Capital
Contributions
(RMB 10,000 Yuan)
|
Proportion of
Contributions
(%)
|
1
|
Hefei Tongyi Equity Investment Partnership (L.P.)
|
7,600
|
25.33
|
2
|
Hefei Economic and Technological Development Zone Industrial Investment Guide Fund Co., Ltd.
|
6,600
|
22.00
|
3
|
Infotech National Emerging Fund (L.P.)
|
6,500
|
21.67
|
4
|
Hefei Guozheng Assets Management Co., Ltd.
|
5,000
|
16.67
|
5
|
ACMSH
|
3,000
|
10.00
|
6
|
Shenzhen Waitan Technology Development Co., Ltd.
|
1,000
|
3.33
|
7
|
Beijing Shixi Qingliu Investment Co., Ltd.
|
300
|
1.00
|
Total
|
30,000
|
100.00
|
Item
|
December 31, 2019/2019
|
Total Assets
|
29,931.86
|
Net Assets
|
29,931.86
|
Net Profit
|
-68.14
|
|
(1) |
Basic Information
|
Name
|
ACM RESEARCH INC
|
Stock Code
|
ACMR
|
Listing Date
|
November 3, 2017
|
Date of Establishment
|
January 18, 1998
|
Corporate Website
|
www.acmrcsh.com
|
Chairman
|
David H. Wang
|
Registered Address
|
c/o Corporation Service Company, 251 Little Falls Drive, County of New Castle, Wilmington, Delaware 19808
|
Office Address
|
42307 Osgood Road, Suite # #I, ROOM A, Fremont, CA 94539
|
|
(2) |
The Setting and Conversion of Class A, B Common Shares
|
|
(3) |
Major Shareholders
|
No.
|
Name of Shareholder
|
Quantity of Shares
Held
|
Proportion of
Shareholding (%)
|
1
|
Shanghai Science and Technology Venture Capital Co., Ltd.
|
1,666,170
|
10.30%
|
2
|
Pudong Science and Technology (Cayman)
|
1,119,576
|
6.92%
|
Co., Ltd.
|
|||
3
|
Xinxin (Hongkong) Capital Co., Limited
|
833,334
|
5.15%
|
4
|
Zhangjiang AJ Company Limited
|
787,098
|
4.86%
|
5
|
HAIPING DUN
|
285,030
|
1.76%
|
Total
|
4,691,208
|
28.99%
|
No.
|
Name of Shareholder
|
Quantity of Shares
Held
|
Proportion of
Shareholding (%)
|
1
|
HUI WANG
|
1,146,934
|
61.58%
|
2
|
BRIAN WANG
|
117,334
|
6.30%
|
3
|
SOPHIA WANG
|
117,334
|
6.30%
|
4
|
HAIPING DUN
|
100,000
|
5.37%
|
5
|
STEPHEN SUN-HAI CHIAO
|
69,815
|
3.75%
|
Total
|
1,551,417
|
83.29%
|
Item
|
December 31, 2019/2019
|
Total Assets
|
217,703
|
Net Assets
|
157,483
|
Net Profit
|
19,458
|
|
2. |
Actual Controller
|
|
3. |
Other Companies Controlled by the Controlling Shareholder
|
Name
|
ACM Research (Cayman), Inc.
|
Address
|
Suite #4-210, Governors Square, 23 Lime Tree Bay Avenue, PO Box 32311, Grand Cayman KY1-1209, Cayman Islands
|
Director
|
HUI WANG
|
Number of Issued
Shares
|
10,000 Shares
|
Main Business
|
No actual business carried out
|
Shareholders
|
100% equity rights held by ACMR
|
3
|
SICIF
|
461.54
|
1.18
|
461.54
|
1.06
|
4
|
PDHTI
|
461.54
|
1.18
|
461.54
|
1.06
|
5
|
HTXC
|
230.77
|
0.59
|
230.77
|
0.53
|
6
|
Shangrong Innovation
|
207.69
|
0.53
|
207.69
|
0.48
|
7
|
Taihu Guolian
|
192.31
|
0.49
|
192.31
|
0.44
|
8
|
Jinpu Investment
|
192.31
|
0.49
|
192.31
|
0.44
|
9
|
Xinshi Consulting
|
178.19
|
0.46
|
178.19
|
0.41
|
10
|
Yongkong Consulting
|
176.92
|
0.45
|
176.92
|
0.41
|
11
|
Hai Feng Investment
|
153.85
|
0.39
|
153.85
|
0.35
|
12
|
Runguang Investment
|
153.85
|
0.39
|
153.85
|
0.35
|
13
|
ZJTVC
|
153.85
|
0.39
|
153.85
|
0.35
|
14
|
SYEM
|
116.69
|
0.30
|
116.69
|
0.27
|
15
|
Xingang Consulting
|
72.71
|
0.19
|
72.71
|
0.17
|
16
|
SRJY
|
23.08
|
0.06
|
23.08
|
0.05
|
Shares in the Offering
|
-
|
-
|
4,335.58
|
10.00
|
|
Total
|
39,020.13
|
100.00
|
43,355.71
|
100.00
|
No.
|
Name of
Shareholder
|
Time of
Acquisition
|
Method
|
Quantity of
Shares Held
(Ten
Thousand)
|
Proportion of
Shareholding
|
Capital
Increase Price
(Yuan/Share)
|
Pricing
Basis
|
1
|
Xinwei
Consulting
|
August 20, 2019
|
Capital Increase
|
475.62
|
1.22%
|
13.00
|
Negotiated Pricing
|
2
|
HTXC
|
August 20, 2019
|
Capital Increase
|
230.77
|
0.59%
|
13.00
|
Negotiated Pricing
|
3
|
Taihu
Guolian
|
August 20, 2019
|
Capital Increase
|
192.31
|
0.49%
|
13.00
|
Negotiated Pricing
|
4
|
Jinpu
Investment
|
August 20, 2019
|
Capital Increase
|
192.31
|
0.49%
|
13.00
|
Negotiated Pricing
|
5
|
Xinshi
Consulting
|
August 20, 2019
|
Capital Increase
|
178.19
|
0.46%
|
10.40
|
Negotiated Pricing
|
6
|
Hai Feng
Investment |
August 20, 2019
|
Capital Increase
|
153.85
|
0.39%
|
13.00
|
Negotiated Pricing
|
7
|
Xingang
Consulting
|
August 20, 2019
|
Capital Increase
|
72.71
|
0.19%
|
10.40
|
Negotiated Pricing
|
8
|
SICIF
|
December 13, 2019
|
Capital Increase
|
461.54
|
1.18%
|
13.00
|
Negotiated Pricing
|
9
|
PDHTI
|
December 13, 2019
|
Capital Increase
|
461.54
|
1.18%
|
13.00
|
Negotiated Pricing
|
10
|
Shangrong
Innovation
|
December 13, 2019
|
Capital Increase
|
207.69
|
0.53%
|
13.00
|
Negotiated Pricing
|
11
|
Yongkong
Consulting
|
December 13, 2019
|
Capital Increase
|
176.92
|
0.45%
|
13.00
|
Negotiated Pricing
|
12
|
Runguang
Investment
|
December 13, 2019
|
Capital Increase
|
153.85
|
0.39%
|
13.00
|
Negotiated Pricing
|
13
|
ZJTVC
|
December 13, 2019
|
Capital Increase
|
153.85
|
0.39%
|
13.00
|
Negotiated Pricing
|
14
|
SYEM
|
December 13, 2019
|
Capital Increase
|
116.69
|
0.30%
|
13.00
|
Negotiated Pricing
|
15
|
SRJY
|
December 13, 2019
|
Capital Increase
|
23.08
|
0.06%
|
13.00
|
Negotiated Pricing
|
Name
|
Xinwei(Shanghai) Management Consulting Partnership (L.P.)
|
Domicile
|
Room 4166, Building 1, No.63 Liantai Road, Baoshan District, Shanghai
|
Uniform Social
Credit Code
|
91310113MA1GNJQF9E
|
Executive Partner
|
Xinrun Management Consulting (Shanghai) Ltd.
|
Capital
Contributions |
RMB 61.83 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Enterprise management consultancy; enterprise marketing planning; commercial information consultancy; market information consultancy and investigation (being prohibited from engaging in social investigation,
social survey, public opinion survey, public opinion poll); conference services. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been
obtained]
|
Business Term
|
From June 11, 2019 to June 10, 2049
|
Name of Partner
|
Category of
Partner
|
Capital
Contributions
|
Contribution
Proportion (%)
|
Name
|
Xinrun Management Consulting (Shanghai) Ltd.
|
Principal Place of
Business
|
Room 239, 2/F, Whole Building, No.390-408 East Beijing Road, Huangpu District, Shanghai
|
Date of
Establishment
|
May 22, 2019
|
Uniform Social
Credit Code
|
91310101MA1FPEW358
|
Legal
Representative
|
GANG HUANG
|
Registered Capital
|
RMB 500,000 Yuan
|
Business Scope
|
Enterprise management consultancy; enterprise marketing planning; commercial information consultancy; market information consultancy and investigation (being prohibited from engaging in social investigation,
social survey, public opinion survey, public opinion poll). [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained]
|
Name
|
Jiaxing Haitong Xuchu Private Equity Fund (L.P.)
|
Domicile
|
Room 116-71, Building 1, Fund Township, No.1856, Nanjiang Road, Nanhu District, Jiaxing City, Zhejiang Province
|
Uniform Social
Credit Code
|
91330402MA2B990M4A
|
Executive Partner
|
[***]
|
Capital Contributions
|
RMB 323.625 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Investment and investment management of non-securities businesses. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant
authorities has been obtained]
|
Business Term
|
From January 24, 2018 to January 23, 2028
|
Name of Partner
|
Category of
Partner
|
Capital
Contributions
(RMB 10,000
Yuan)
|
Capital
Proportion (%)
|
China Merchants Wealth
|
Limited Partner
|
25,890.00
|
80.00
|
[***]
|
General Partner
|
6,276.50
|
19.39
|
Jiaxing Xiyue Investment Management
Partnership (L.P.)
|
Limited Partner
|
196.00
|
0.61
|
Total
|
32,362.5
|
100.00
|
Name
|
[***]
|
Domicile
|
[***]
|
Date of
Establishment
|
[***]
|
Uniform Social
Credit Code
|
[***]
|
Legal
Representative
|
[***]
|
Registered Capital
|
RMB 10,650 million Yuan
|
Business Scope
|
Using self-owned funds or setting up direct investment funds to make equity investment or equity-related debt investment in enterprises, or investing other investment funds related to equity investment;
providing investment consultancy, investment management, financial consultancy service related to equity investment; other businesses approved by the CSRC. (For projects subject to any approval in accordance with laws, business activities
may be carried out only after such approval of relevant authorities has been obtained)
|
Name
|
Wuxi Taihu Guolian Emerging Industry Investment Enterprise (L.P.)
|
Domicile
|
5/F, Guolian Financial Building, No.8 Jinrongyi 1st Street, Binhu District, Wuxi City
|
Uniform Social
Credit Code
|
91320200MA1Y27GM1N
|
Executive Partner
|
Wuxi Guolian Industry Investment Co., Ltd.
|
Capital
Contributions
|
RMB 5 billion Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Using self-owned funds to conduct foreign investment. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has
been obtained]
|
Business Term
|
From March 13, 2019 to March 12, 2027
|
Name of Partner
|
Category of
Partner
|
Capital
Contributions
(RMB 10,000 Yuan)
|
Contribution
Proportion
(%)
|
Wuxi Guolian Financial Investment Group Co., Ltd.
|
Limited Partner
|
299,500
|
59.90
|
Wuxi Guolian Development (Group) Co., Ltd.
|
Limited Partner
|
200,000
|
40.00
|
Wuxi Guolian Industry Investment Co., Ltd.
|
General Partner
|
500
|
0.10
|
Total
|
500,000
|
100.00
|
Name
|
Wuxi Guolian Industry Investment Co., Ltd.
|
Domicile
|
E1-202, China Sensor Network International Innovation Park, No. 200, Linghu Avenue, Xinwu District, Wuxi City
|
Date of
Establishment
|
September 21, 2006
|
Uniform Social
Credit Code
|
9132021479331907XR
|
Executive Partner
|
HAIJIANG MA
|
Registered Capital
|
RMB 200 million Yuan
|
Business Scope
|
Investment management; venture capital investment; industry investment (Except for sectors prohibited, restricted by the laws and regulations); high-tech industry investment and management. [For projects
subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained]
|
Name
|
Shanghai Jinpu Lingang Intelligent Technology Private Equity Investment Fund (L.P.)
|
Domicile
|
Room 865, No.888, West Huanhu’er Road, Nanhui New Town, Pudong New District, Shanghai
|
Uniform Social
Credit Code
|
91310000MA1FL3Q357
|
Executive Partner
|
Shanghai Jinpu Intelligent Technology Investment Management Co., Ltd.
|
Capital Contributions
|
RMB1.2 billion Yuan
|
Type of Company
|
Limited Partnership
|
Business Scope
|
Equity Investment, investment management, investment consultancy, asset management, industrial investment. [For projects subject to any approval in accordance with laws, business activities may be carried out
only after such approval of relevant authorities has been obtained]
|
Business Term
|
From March 27, 2017 to March 26, 2037
|
Name of Partner
|
Category of Partner
|
Capital Contributions (RMB 10,000 Yuan)
|
Contribution Proportion (%)
|
Shanghai Cangjie Industrial Co., Ltd.
|
Limited Partner
|
51,900
|
43.25
|
Shanghai Lingang Zhiyao Equity
Investment Fund Partnership (L.P.)
|
Limited Partner
|
20,000
|
16.67
|
Shanghai Tianshou Real Estate Co.,
Ltd.
|
Limited Partner
|
20,000
|
16.67
|
Zhenjiang High-tech Investment Co.,
Ltd.
|
Limited Partner
|
10,000
|
8.33
|
JIYING HE
|
Limited Partner
|
5,000
|
4.17
|
Shanghai Lianming Investment
Group Co., Ltd.
|
Limited Partner
|
5,000
|
4.17
|
RONGYAO LIAO
|
Limited Partner
|
2,000
|
1.67
|
ZHIMING RUI
|
Limited Partner
|
2,000
|
1.67
|
YAN JIN
|
Limited Partner
|
2,000
|
1.67
|
Shanghai Songjiang Chengqian
Investment Co., Ltd.
|
Limited Partner
|
1,900
|
1.58
|
Shanghai Xuanhong Enterprise
Management Partnership (L.P.)
|
General Partner
|
100
|
0.08
|
Shanghai Jinpu Intelligent
Technology Investment Management
Co., Ltd.
|
General Partner
|
100
|
0.08
|
Total
|
120,000
|
100.00
|
Name
|
Shanghai Xuanhong Enterprise Management Partnership (L.P.)
|
Domicile
|
Building 1, No.139 Rongmei Road, Songjiang District, Shanghai
|
Date of
Establishment
|
March 17, 2017
|
Uniform Social
Credit Code
|
91310117MA1J21JHXM
|
Executive Partner
|
HUAFENG TIAN
|
Business Scope
|
Enterprise management consultancy; commercial information consultancy; exhibition services; enterprise marketing planning; enterprise image planning; cultural and art exchange activities planning;financial
consulting; market information consulting and investigation (being prohibited from engaging in social investigation, social survey, public opinion survey, public opinion poll); the design and making of various advertisements; using
self-owned media to publish advertisements. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained]
|
Name
|
Shanghai Jinpu Intelligent Technology Investment Management Co., Ltd.
|
Domicile
|
Tower C, No.888 West Huanhu’er Road, Nanhui New Town, Pudong New District
|
Date of
Establishment
|
March 15, 2017
|
Uniform Social
Credit Code
|
91310115MA1H8Q3H7H
|
Legal
Representative
|
HOUJUN LV
|
Registered Capital
|
RMB5 million Yuan
|
Business Scope
|
Investment management; asset management; industrial investment. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant
authorities has been obtained]
|
Name
|
Xinshi (Shanghai) Management Consulting Partnership (L.P.)
|
Domicile
|
Room 4162, Building 1, No.63 Liantai Road, Baoshan District, Shanghai
|
Uniform Social
Credit Code
|
91310113MA1GNJDY1N
|
Executive Partner
|
Xindai Management Consulting (Shanghai) Ltd.
|
Capital
Contributions
|
RMB 18.532 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Enterprise management consultancy; enterprise marketing planning; commercial information consultancy; market consultancy and investigation (being prohibited from engaging in social investigation, social
survey, public opinion survey, public opinion poll). [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained]
|
Business Term
|
From June 5, 2019 to June 4, 2049
|
Name of Partner
|
Category
of Partner
|
Contribution
Proportion
|
Capital Contributions
(RMB 10,000 Yuan)
|
Position
|
JUN WANG
|
Limited Partner
|
5.40%
|
100.00
|
Core Technician
|
XUEJUN LI
|
Limited Partner
|
5.40%
|
100.00
|
Core Technician
|
HUI SHEN
|
Limited Partner
|
4.32%
|
80.00
|
Core Management Personnel
|
XIAYUN YANG
|
Limited Partner
|
4.32%
|
80.00
|
Core Management Personnel
|
YAN LI
|
Limited Partner
|
4.32%
|
80.00
|
Core Management Personnel
|
SHENA JIA
|
Limited Partner
|
4.32%
|
80.00
|
Core Management Personnel
|
DEYUN WANG
|
Limited Partner
|
4.32%
|
80.00
|
Core Management Personnel
|
XIAOYAN ZHANG
|
Limited Partner
|
4.32%
|
80.00
|
Core Management Personnel
|
XI WANG
|
Limited Partner
|
4.32%
|
80.00
|
Core Management Personnel
|
XIAOFENG TAO
|
Limited Partner
|
3.24%
|
60.00
|
Core Business Personnel
|
JUN WU
|
Limited Partner
|
3.24%
|
60.00
|
Core Management Personnel
|
HU ZHAO
|
Limited Partner
|
3.24%
|
60.00
|
Core Business Personnel
|
GUANGYU XIA
|
Limited Partner
|
3.24%
|
60.00
|
Core Business Personnel
|
GUANZHONG LU
|
Limited Partner
|
3.24%
|
60.00
|
Core Business Personnel
|
HONGCHAO YANG
|
Limited Partner
|
3.24%
|
60.00
|
Core Business Personnel
|
YULU HU
|
Limited Partner
|
3.24%
|
60.00
|
Core Business Personnel
|
ZHAOWEI JIA
|
Limited Partner
|
3.24%
|
60.00
|
Core Management Personnel
|
YINUO JIN
|
Limited Partner
|
3.24%
|
60.00
|
Core Management Personnel
|
WENJUN WANG
|
Limited Partner
|
3.24%
|
60.00
|
Core Business Personnel
|
XIAOQUN WANG
|
Limited Partner
|
2.16%
|
40.00
|
Core Business Personnel
|
GUANGBO HAN
|
Limited Partner
|
2.16%
|
40.00
|
Core Business Personnel
|
WENQING JI
|
Limited Partner
|
2.16%
|
40.00
|
Core Management Personnel
|
FENG LIU
|
Limited Partner
|
2.16%
|
40.00
|
Core Business Personnel
|
QIANG WANG
|
Limited Partner
|
2.16%
|
40.00
|
Core Business Personnel
|
YANLI HU
|
Limited Partner
|
2.16%
|
40.00
|
Core Business Personnel
|
FANGYONG ZHEN
|
Limited Partner
|
2.16%
|
40.00
|
Core Business Personnel
|
ANYUN BI
|
Limited Partner
|
2.16%
|
40.00
|
Core Management Personnel
|
XINZHENG WANG
|
Limited Partner
|
2.16%
|
40.00
|
Core Business Personnel
|
SHUHONG KUANG
|
Limited Partner
|
1.62%
|
30.00
|
Resigned
|
XIAOWEI DI
|
Limited Partner
|
1.62%
|
30.00
|
Core Business Personnel
|
CHUANYUN ZHU
|
Limited Partner
|
1.08%
|
20.00
|
Core Business Personnel
|
BINGGENG LONG
|
Limited Partner
|
0.81%
|
15.00
|
Core Business Personnel
|
DONGHUI LU
|
Limited Partner
|
0.54%
|
10.00
|
Core Management Personnel
|
HAILANG DUAN
|
Limited Partner
|
0.54%
|
10.00
|
Core Business Personnel
|
JUNZHUO WU
|
Limited Partner
|
0.43%
|
8.00
|
Core Business Personnel
|
FEI ZHOU
|
Limited Partner
|
0.43%
|
8.00
|
Core Business Personnel
|
WENJUN HU
|
Limited Partner
|
0.11%
|
2.00
|
Core Business Personnel
|
Xindai Management Consulting (Shanghai) Ltd.
|
General Partner
|
0.01%
|
0.20
|
-
|
Total
|
-
|
100.00%
|
1,853.20
|
-
|
Name
|
Xindai Management Consulting (Shanghai) Ltd.
|
Uniform Social
|
91310101MA1FPEW27D
|
Credit Code
|
|
Principal Place of
Business
|
Room 240, 2/F, Whole Building, No. 390-408 East Beijing Road, Huangpu District, Shanghai
|
Registered Capital
|
RMB10,000 Yuan
|
Legal Representative
|
XIAYUN YANG
|
Date of
Establishment
|
May 22, 2019
|
Business Scope
|
Enterprise management consultancy; enterprise marketing planning; commercial information consultancy; market information consultancy and investigation (being prohibited from engaging in social investigation,
social survey, public opinion survey, public opinion poll). [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained]
|
Name
|
Hai Feng Investment Holding Limited
|
Address of
Registered Office
|
Room 5301, 53/F, The Center, No.99 Queen’s Road, Hong Kong
|
Company ID
|
2788115
|
Number of Issued
Shares
|
1 share
|
Directors
|
CHONGJIU SHA, Youngjin KO
|
Composition of
|
100% equity rights held by SL Capital Fund I, L. P.
|
Shareholders
|
Name
|
Xingang (Shanghai) Management Consulting Partnership (L.P.)
|
Domicile
|
Room 4163, Building 1, No.63 Liantai Road, Baoshan District, Shanghai
|
Uniform Social
Credit Code
|
91310113MA1GNJDX3U
|
Executive Partner
|
Xindai Management Consulting (Shanghai) Ltd.
|
Capital
Contributions
|
RMB 7.562 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Enterprise management consultancy; enterprise marketing planning; commercial information consultancy; market information consultancy and investigation (being prohibited from engaging in social investigation,
social survey, public opinion survey, public opinion poll); conference service. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been
obtained]
|
Business Term
|
From June 5, 2019 to June 4, 2049
|
Name of Partner
|
Category
of Partner |
Contribution
Proportion
|
Subscribed Capital
Contributions (RMB
10,000 Yuan)
|
Position
|
XUFENG MENG
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
ZHENMING CHU
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
YUN SUN
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
WEI ZHANG
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
XIAOHUI ZHANG
|
Limited Partner
|
2.64%
|
20.00
|
Core Management Personnel
|
RONG CAO
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
SHILIANG CHEN
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
LAN XI
|
Limited Partner
|
2.64%
|
20.00
|
Core Management Personnel
|
YINGWEI DAI
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
JUAN LI
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
LEI WU
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
HAIBO HU
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
YU NIE
|
Limited Partner
|
2.64%
|
20.00
|
Core Management Personnel
|
DING XU
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
YI SHI
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
DANYING WANG
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
MINLI GU
|
Limited Partner
|
2.64%
|
20.00
|
Core Management Personnel
|
WEIZHAN CAI
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
ZHENJIANG QIN
|
Limited Partner
|
2.64%
|
20.00
|
Core Business Personnel
|
XIAOCHENG GU
|
Limited Partner
|
2.38%
|
18.00
|
Core Business Personnel
|
CHUNYANG HAN
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
YANPING WANG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
YANJUN QIAN
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
HONGXIN ZHANG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
HE WANG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
SHU YANG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
SONG WANG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
QI LI
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
YANG XIANG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
SHAOSHUAI ZHANG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
ZERAN LI
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
LI SUN
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
BO XIONG
|
Limited Partner
|
1.98%
|
15.00
|
Core Business Personnel
|
HUA CHEN
|
Limited Partner
|
0.93%
|
7.00
|
Core Business Personnel
|
CHENG CHENG
|
Limited Partner
|
0.66%
|
5.00
|
Core Business Personnel
|
CHENHUA LU
|
Limited Partner
|
0.40%
|
3.00
|
Core Business Personnel
|
Xindai Management Consulting (Shanghai) Ltd.
|
General Partner
|
0.03%
|
0.20
|
-
|
Total
|
-
|
100.00%
|
756.20
|
-
|
Name
|
Shanghai Integrated Circuit Industry Fund Co., Ltd.
|
Domicile
|
Unit A, Room 1201, No.289 Chunxiao Road, China (Shanghai) Pilot Free Trade Zone
|
Uniform Social
Credit Code
|
91310000MA1FL3AW02
|
Executive Partner
|
WEIGUO SHEN
|
Registered Capital
|
RMB 28.5 billion Yuan
|
Type of Enterprise
|
Joint Stock Company Limited
|
Business Scope
|
Equity investment; venture investment. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained]
|
Business Term
|
From December 7, 2016 to December 6, 2024
|
Name of Shareholder
|
Number of Shares (Ten
thousand)
|
Percentage of Shares
|
Shanghai Science and Technology
Venture Capital (Group) Co., Ltd.
|
1,000,000
|
35.09
|
SAIC Group Equity Investment Co., Ltd.
|
600,000
|
21.05
|
Shanghai International Trust Corp., Ltd.
|
300,000
|
10.53
|
National Integrated Circuit Industry Investment Fund Co., Ltd.
|
300,000
|
10.53
|
Shanghai International Group
|
200,000
|
7.02
|
Shanghai Pudong High-tech Investment Co., Ltd.
|
200,000
|
7.02
|
Shanghai Guosheng Group Co., Ltd.
|
200,000
|
7.02
|
Shanghai Jiading Venture Capital Co., Ltd.
|
50,000
|
1.75
|
Total
|
2,850,000
|
100.00
|
Name
|
Shanghai Pudong High-tech Investment Co., Ltd.
|
Domicile
|
4/F, No.416 Zhoushi Road, Pudong New District, Shanghai
|
Uniform Social
Credit Code
|
91310115320776596T
|
Legal
Representative
|
YUN ZHU
|
Capital
Contributions
|
RMB 1,832.81 million Yuan
|
Type of Enterprise
|
Limited Company
|
Business Scope
|
Equity investment; industrial investment; investment management; investment consultancy; enterprise management consultancy; enterprise M&A consultancy (brokerage services not included in the above
consultancy services). [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained]
|
Business Term
|
From October 24, 2014 to no fixed term
|
Name of Shareholder
|
Capital Contributions (RMB
10,000 Yuan)
|
Percentage of Shareholding
(%)
|
Shanghai Pudong Technology Innovation Group Co., Ltd.
|
183.281
|
100
|
Total
|
183.281
|
100
|
Name
|
Shangrong Innovation (Ningbo) Equity Investment Center (L.P.)
|
Domicile
|
A0006, Zone C, Room 401, Building 1, No.88 Meishanqixing Road, Beilun District, Ningbo City, Zhejiang Province
|
Uniform Social
Credit Code
|
91330206MA2AHTFM7E
|
Executive Partner
|
Beijing Shang Finance Corporation
|
Capital
Contributions
|
RMB 1 billion Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Equity investment and related consultancy services.(being prohibited from engaging in financial businesses such as deposit taking, financing guarantee, financial management for clients, raising capital
(financing) from the public without the approval of financial regulators) [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been
obtained]
|
Business Term
|
From March 29, 2018 to March 28, 2028
|
Name of Partner
|
Partner
|
Capital
Contributions
(RMB
10,000 Yuan)
|
Contribution
Proportion(%)
|
Ningbo HeYuan Holding Co., Ltd.
|
Limited Partner
|
84,000
|
80.00
|
Ningbo HeYuan Holding Co., Ltd.
|
Limited Partner
|
14,000
|
14.00
|
RUIHUA ZHENG
|
Limited Partner
|
1,000
|
1.00
|
Beijing Shang Finance Corporation
|
General Partner
|
1,000
|
1.00
|
Total
|
100,000
|
100.00
|
Name
|
Beijing Shang Finance Corporation
|
Domicile
|
A0002, Zone C, Room 401, Building 1, No.88 Meishanqixing Road, Beilun District, Ningbo City, Zhejiang Province
|
Date of
Establishment
|
July 17, 2015
|
Uniform Social
Credit Code
|
9133020634047013XJ
|
Legal
Representative
|
HONGJIAN XIAO
|
Registered Capital
|
RMB 50 million Yuan
|
Business Scope
|
Asset management; investment management; investment consultancy, industrial investment; equity investment (being prohibited from engaging in financial businesses such as deposit taking, financing guarantee,
financial management for clients, raising capital (financing) from the public without the approval of financial regulators) [For projects subject to any approval in accordance with laws, business activities may be carried out only after
such approval of relevant authorities has been obtained]
|
Name
|
Shanghai Yongkong Business Information Consulting Partnership (L.P.)
|
Domicile
|
Room 402, No.2, Lane 180, Zhangheng Road, China (Shanghai) Pilot Free Trade Zone
|
Uniform Social
Credit Code |
91310115MA1K4EMM7R
|
Executive Partner
|
Shanghai Jiuyou Chuangu Investment Management Co., Ltd.
|
Capital
Contributions
|
RMB 23.2323 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Commercial information consultancy; enterprise management consultancy; marketing planning; enterprise image planning; exhibition services; etiquette services; graphic design; computer technology; technology
development, technology consultancy, technology services, technology transfer and information technology consultancy services within the field of internet technology. [For projects subject to any approval in accordance with laws, business
activities may be carried out only after such approval of relevant authorities has been obtained]
|
Business Term
|
From September 25, 2019 to September 24, 2049
|
Name of Partner
|
Category
of Partner
|
Capital
Contributions
(RMB 10,000
Yuan)
|
Capital
Proportion (%)
|
Shanghai Shangguo Investment Asset Management Co., Ltd.
|
Limited Partner
|
1,400
|
60.26
|
Shanghai Jiushen Equity Investment Fund Partnership Enterprise (L.P.)
|
Limited Partner
|
800
|
34.43
|
FAN LIU
|
Limited Partner
|
100
|
4.30
|
Shanghai Jiuyou Chuangu Investment Management Co., Ltd.
|
General Partner
|
23.23
|
1.00
|
Total
|
2,323.23
|
100.00
|
Name
|
Shanghai Jiuyou Chuangu Investment Management Co., Ltd.
|
Domicile
|
Room 08, 5/F, No.2 Office Building, Lane 180, Zhangheng Road, China (Shanghai) Pilot Free Trade Zone
|
Date of
Establishment
|
January 16, 2013
|
Uniform Social
Credit Code
|
91310115060900342P
|
Legal
Representative
|
XIAOLONG LIU
|
Registered Capital
|
RMB 5 million Yuan
|
Business Scope
|
Industrial investment; investment management; investment consultancy; business consultancy, enterprise management consultancy (brokerage services are not included in the above consultancy services); asset
management (For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained)
|
Name
|
Hefei Runguang Equity Investment Partnership (L.P.)
|
Domicile
|
Room 560, Fund Tower, Building E1, Innovation Industrial Park Phase II, No.2800 Innovation Avenue, High-tech Zone, Hefei City
|
Uniform Social
Credit Code
|
91340100MA2TER55XC
|
Executive Partner
|
Huaxin Yuanchuang (Qingdao) Capital Management Co., Ltd.
|
Registered Capital
|
RMB 100 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Using self-owned funds to make equity investment; enterprise management consultancy services. (being prohibited from engaging in financial businesses such as deposit taking, financing guarantee, financial
management for clients without the approval of financial regulators) (For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been
obtained)
|
Business Term
|
From January 23, 2019 to January 22, 2026
|
Name of Partner
|
Category
of Partner
|
Capital
Contributions
(RMB 10,000
Yuan)
|
Contribution
Proportion (%)
|
Shenzhen Xiaoyezitan Investment Partnership (L.P.)
|
Limited Partner
|
5,772
|
59.94
|
Hefei Huadeng Integrated Circuit Industry Investment Fund Co., Ltd.
|
Limited Partner
|
3,848
|
39.96
|
Huaxin Yuanchuang (Qingdao) Capital Management Co., Ltd.
|
General Partner
|
9.53
|
0.10
|
Total
|
10,000
|
100.00
|
Name
|
Huaxin Yuanchuang (Qingdao) Capital Management Co., Ltd.
|
Domicile
|
Room 2004, No.658, Jinggangshan Road, Huangdao District, Qingdao City, Shandong Province
|
Date of
Establishment
|
September 20, 2016
|
Uniform Social
Credit Code
|
91370211MA3CH4UD45
|
Legal
Representative
|
Hing Wong
|
Registered Capital
|
RMB 100 million Yuan
|
Business Scope
|
Entrusted to manage the investment business of investment enterprises; providing investment consultancy and investment management consultancy services; enterprise management
consultancy. (The above services do not involve fund business; being prohibited from engaging in financial services such as deposit taking, financing guarantee, financial management for clients without the approval of financial
regulators) (The above business scope does not include any project restricted, prohibited and ousted by state laws and regulations, and projects subject to approval according to law shall be approved by the relevant departments before
carrying out business activities) (Projects subject to approval according to law shall be approved by the relevant departments before carrying out business activities)
|
Name
|
Shanghai Zhangjiang Science and Technology Venture Capital Co., Ltd.
|
Domicile
|
Room 209, Building 1 Complex, No.3000 Longdong Avenue, China (Shanghai) Pilot Free Trade Zone
|
Uniform Social
Credit Code
|
913100007679066259
|
Legal Representative
|
HONGLIANG YU
|
Registered Capital
|
RMB 1billion Yuan
|
Type of Enterprise
|
Limited Company
|
Business Scope | Venture capital investment; providing agency services for other venture capital investment enterprises and other institutions or individuals as to their venture capital investment, venture capital investment consultancy |
|
businesses; providing venture capital management service business for venture capital enterprises; participating in the set up of venture capital investment enterprises and venture capital management
consultancy institutions. (For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been obtained)
|
Business Term
|
From October 9, 2004 to October 8, 2054
|
Name of Shareholder
|
Capital Contributions
(RMB 10,000 Yuan)
|
Percentage of
Shareholding (%)
|
Zhangjiang Group
|
100,000
|
100
|
Total
|
100,000
|
100
|
Name
|
Shanghai Shanyi Enterprise Management Center (L.P.)
|
Domicile
|
Room 601-31, No.198 Wudong Road, Yangpu District, Shanghai
|
Uniform Social
Credit Code
|
91310110MA1G92DE5Y
|
Executive Partner
|
SULAN LV
|
Capital
Contributions
|
RMB 15.17 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Enterprise management and consultancy; commercial information
|
consultancy; financial consultancy. (For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant authorities has been
obtained)
|
|
Business Term
|
From September 19, 2019 to September 18, 2029
|
Name of Partner
|
Category
of Partner
|
Capital
Contributions
(RMB 10,000
Yuan)
|
Contribution
Proportion (%)
|
SULAN LV
|
General Partner
|
1,316.756
|
86.80
|
JUN JIANG
|
Limited Partner
|
100.122
|
6.60
|
XU LU
|
Limited Partner
|
100.122
|
6.60
|
Total
|
-
|
1,517.000
|
100.00
|
Name
|
Shanghai Shangrong JuYuan Equity Investment Center (L.P.)
|
Domicile
|
Room 1206, 12/F, No.407-1 Yishan Road, Xuhui District, Shanghai
|
Uniform Social
Credit Code
|
91310000MA1FL3X64K
|
Executive Partner
|
Beijing Shang Finance Corporation
|
Capital
Contributions
|
RMB 460 million Yuan
|
Type of Enterprise
|
Limited Partnership
|
Business Scope
|
Equity investment; industrial investment; investment management; asset management. (For projects subject to any approval in accordance with laws, business activities may be carried out only after such
approval of relevant authorities has been obtained)
|
Business Term
|
From May 8, 2017 to May 7, 2027
|
Name of Partner
|
Category
of Partner
|
Capital
Contributions
(RMB 10,000
Yuan)
|
Contribution
Proportion (%)
|
Gongqingcheng Shangrong Investment Management Partnership (L.P.)
|
Limited Partner
|
45,100
|
98.04
|
Beijing Shang Finance Corporation
|
General Partner
|
450
|
0.98
|
Ningbo Ronghui Investment Center (L.P.)
|
Limited Partner
|
450
|
0.98
|
Total
|
46,000
|
100.00
|
|
1. |
Xinshi Consulting and Xingang Consulting
|
|
2. |
SICIF and PDHTI
|
3.
|
Shangrong Innovation and SRJY
|
(I) |
Members of the Board of Directors
|
No.
|
Name
|
Position
|
Nominator
|
Term of Office
|
1
|
HUI WANG
|
Chairman
|
ACMR
|
Nov.14,2019 -Nov.13,2022
|
2
|
HAIPING DUN
|
Director
|
ACMR
|
Nov.14,2019 -Nov.13,2022
|
3
|
STEPHEN SUN-HAI CHIAO
|
Director
|
ACMR
|
Nov.14,2019 -Nov.13,2022
|
4
|
Charles Law
|
Director
|
ACMR
|
Nov.14,2019 -Nov.13,2022
|
5
|
JIANG LI
|
Director
|
SICIF
|
Mar 30, 2020 -Nov.13,2020
|
6
|
CHEN HUANG
|
Director
|
PDHTI
|
Mar 30, 2020 -Nov.13,2020
|
7
|
DI ZHANG
|
Independent Director
|
Board of Directors
|
Nov.14,2019 -Nov.13,2022
|
8
|
MINGXIU PENG
|
Independent Director
|
Board of Directors
|
Nov.14,2019 -Nov.13,2022
|
9
|
ZHANBING REN
|
Independent Director
|
Board of Directors
|
Nov.14,2019 -Nov.13,2022
|
No.
|
Name
|
Position
|
Nominator
|
Term of Office
|
1
|
TRACY DONG LIU
|
Supervisor
|
ACMR
|
Nov.14,2019 -Nov.13,2022
|
2
|
QIAN DONG
|
Supervisor
|
ACMR
|
Mar 30, 2020 -Nov.13,2020
|
3
|
QIAN LI
|
Employee Representative Supervisor
|
General Meeting of Employee Representative
|
Nov.14,2019 -Nov.13,2022
|
No.
|
Name
|
Position
|
1
|
HUI WANG
|
Chairman
|
2
|
JIAN WANG
|
General Manager
|
3
|
FUPING CHEN
|
Deputy General Manager
|
4
|
SOTHEARA CHEAV
|
Deputy General Manager
|
5
|
JUN WANG
|
Vice President of Electrical Engineering
|
6
|
XUEJUN LI
|
Vice President of After-sale Services
|
Name
|
Position in
the
Company
|
Name of Employer
|
Position
|
Relationship
with the
Issuer
|
HUI WANG
|
Chairman
|
ACMR
|
Chairman, CEO
|
Controlling Shareholder
|
ACM Research(Cayman)
|
Director
|
Related party
|
||
NINEBELL
|
Director
|
Related party
|
||
HAIPING DUN
|
Director
|
ACMR
|
Director
|
Controlling Shareholder
|
STEPHEN SUN-HAI CHIAO
|
Director
|
Sycamore Management Corporation
|
Managing Partner
|
Related party
|
Silicon Technology Investment (Cayman) Corp.
|
Director
|
Related party
|
||
Charles Law
|
Director |
Law and Law
|
Managing Partner
|
Related party
|
MINGXIU PENG
|
Independent Director
|
Haihua Investment Co., Ltd.
|
Chairman
|
None
|
Avision Inc.
|
Independent Director
|
None
|
||
Qifa Electronics Co., Ltd.
|
Director
|
None
|
||
Longcai Technology Co., Ltd.
|
Director
|
None
|
||
Mars Semiconductor Corp.
|
Independent Director
|
None
|
||
ZHANBING REN
|
Independent Director
|
Shanghai Mengtebao International Trading Co., Ltd.
|
Executive Director
|
None
|
Shanghai Ruizhong International Trading Co., Ltd.
|
Executive Director
|
None
|
||
Black Peony (Group) Co., Ltd.
|
Independent Director
|
None
|
||
TRACY DONG LIU
|
Supervisor
|
ACMR
|
Director
|
Controlling Shareholder
|
H&M Int’l CPAs, LLP
|
Managing Partner
|
Related party
|
||
QIAN DONG
|
Supervisor
|
Yunnan Energy Investment Ruizhang Internet of Things Technology Ltd.
|
Director and General Manager
|
Related party
|
Shanghai Viewnoon Information
Technology Co., Ltd.
|
Director
|
Related party
|
||
Shanghai Zhaonengkun Information |
Director
|
Related party
|
|
|
Technology Co., Ltd.
|
|
|
Shanghai Zhimeng Internet of Things Technology Co., Ltd.
|
Executive Director and General Manager
|
Related party
|
||
JIAN WANG
|
General Manager
|
ShengYuan Management Consulting (Shanghai) Co., Ltd.
|
Executive Director
|
Related party
|
FUPING CHEN
|
Deputy General Manager
|
Wuxi Hengchuang Micro-Technology Ltd.
|
Supervisor
|
None
|
MINGZHU LUO
|
Secretary of Board of Directors
|
ShengYuan Management Consulting (Shanghai) Co., Ltd.
|
Supervisor
|
Related party
|
Shengyi Technology
|
Director
|
Shareholding Subsidiary
|
Name
|
Position in
the
Company
|
Name of Investee
|
Shareholding Percentage
|
Relationship
with the
Issuer
|
STEPHEN SUN-HAI CHIAO
|
Director
|
Green Expedition LLC
|
100%
|
Related party
|
ZHANBING REN
|
Independent Director
|
Shanghai Mengtebao International Trading Co., Ltd.
|
50%
|
Related party
|
Shanghai Ruizhong International Trading Co., Ltd.
|
30%
|
Related party
|
||
QIAN DONG
|
Supervisor
|
Shanghai Zhimeng Internet of Things Technology Co., Ltd.
|
60%
|
None
|
Shanghai Lianwan Investment Management Center (Limited Partnership)
|
50%
|
Related party
|
||
Shanghai Zhaonengkun Information Technology Co., Ltd.
|
12.14%
|
None
|
||
Xinwei Consulting
|
3.23%
|
Shareholder
|
||
JIAN WANG
|
General Manager
|
ShengYuan Management Consulting (Shanghai) Co., Ltd.
|
100%
|
Related party
|
FUPING CHEN
|
Deputy General Manager
|
Shengxin Shanghai
|
2.94%
|
Related party
|
MINGZHU
|
Secretary of Board of
|
1.55%
|
LUO | Directors |
Company
holding
the shares
of the
Company
|
Relationship
with the
Issuer
|
Name
|
Position/Family
Relation
|
Information on Shareholding
|
ACMR
|
Holding 91.67% of shares in the Company
|
HUI WANG
|
Chairman
|
Holding 168,006 Class A shares and 1,146,934 Class B shares of ACMR, indirectly holding 206,667 Class A shares and 60,000 Class A shares of ACMR through David Hui Wang & Jing Chen
Family Living Trust and David Hui Wang & Jing Chen Irrevocable Trust respectively, and indirectly holding 7,334 Class B shares of ACMR through David Hui Wang & Jing Chen Irrevocable Trust
|
JING CHEN
|
Spouse of HUI
|
Holding 33,334 Class B shares of ACMR, indirectly holding 206,667
|
WANG | Class A shares and 60,000 Class A shares of ACMR through David Hui Wang & Jing Chen Family Living Trust and David Hui Wang & Jing Chen Irrevocable Trust respectively, and indirectly holding 7,334 Class B shares of ACMR through David Hui Wang & Jing Chen Irrevocable Trust | |||
BRIAN WANG
|
Son of HUI WANG
|
Holding 117,334 Class B shares of ACMR
|
||
SOPHIA WANG
|
Daughter of HUI WANG
|
Holding 15,279 Class A shares and 117,334 Class B shares of ACMR
|
||
HAIPING DUN
|
Director
|
Hoding 285,030 Class A shares and 100,000 Class B shares of ACMR
|
||
STEPHEN SUN-HAI CHIAO
|
Director
|
Holding 69,815 Class B shares of ACMR, indirectly holding 55,000 Class A shares of ACMR through Green Expedition LLC, and indirectly holding 30,000 Class B shares of ACMR through
Stephen Sun-Hai And Mary Wu-Chun Chiao Revocable Trust
|
||
Charles Law
|
Director
|
Holding 30,112 Class A shares of ACMR
|
||
ZHANBING REN
|
Independent Director
|
Holding 3,334 Class B shares of ACMR
|
TRACY DONG LIU
|
Supervisor
|
Holding 16,924 Class A shares of ACMR
|
||
JIAN WANG
|
Deputy General Manager
|
Holding 84,386 Class A shares and 50,001 Class B shares of ACMR
|
||
SOTHEARA CHEAV
|
Deputy General Manager
|
Holding 43,334 Class A shares of ACMR
|
||
LISA YI LU FENG
|
Person in Charge of Financial Matters
|
Holding 6,943 Class A shares of ACMR
|
||
XUEJUN LI
|
Key Technician
|
Holding 800 Class A shares of ACMR
|
||
Xinwei Consulting
|
Holding 1.22% of shares in the Company
|
QIAN DONG
|
Supervisor
|
Holding 3.23% of shares in Xinwei Consulting
|
Xinshi Consulting
|
Holding 0.46% of shares in the Company
|
JUN WANG
|
Key Technician
|
Holding 5.40% of shares in Xinshi Consulting
|
XUEJUN LI
|
Key Technician
|
Holding 5.40% of shares in Xinshi Consulting
|
No.
|
Name
|
Position
|
Remuneration in 2019
|
1
|
HUI WANG
|
Chairman
|
61.87
|
2
|
HAIPING DUN
|
Director
|
-
|
3
|
STEPHEN SUN-HAI CHIAO
|
Director
|
-
|
4
|
Charles Law
|
Director
|
-
|
5
|
CHEN HUANG
|
Director
|
-
|
6
|
JIANG LI
|
Director
|
-
|
7
|
DI ZHANG
|
Independent Director
|
5.14
|
8
|
MINGXIU PENG
|
Independent Director
|
1.30
|
9
|
ZHANBING REN
|
Independent Director
|
1.30
|
10
|
TRACY DONG LIU
|
Supervisor
|
0.78
|
11
|
QIAN DONG
|
Supervisor
|
-
|
12
|
QIAN LI
|
Employee Representative Supervisor
|
9.18
|
13
|
JIAN WANG
|
General Manager
|
65.81
|
14
|
FUPING CHEN
|
Deputy General Manager
|
74.74
|
15
|
SOTHEARA CHEAV
|
Deputy General Manager
|
76.58
|
16
|
LISA YI LU FENG
|
Person in Charge of Financial Matters
|
98.81
|
17
|
MINGZHU LUO
|
Secretary of Board of Directors
|
41.56
|
18
|
JUN WANG
|
Key Technician
|
74.83
|
19
|
XUEJUN LI
|
Key Technician
|
65.38
|
1.
|
Basic Information on Employee Shareholding Platforms
|
2.
|
Employee Shareholding Platforms’ Confirmation of Share-based Payment
|
3.
|
Operation of Employee Shareholding Platforms Not Subject to the “Closed Loop Principle”
|
4.
|
Employee Shareholding Platforms Not Being Private Investment Funds
|
5.
|
Share Lock-up Commitments of Employee Shareholding Platforms
|
1.
|
Procedures of Formulating the Incentive Plan
|
2.
|
Basic Content of the Incentive Plan
|
3.
|
Effects of the Equity Incentives on the Company
|
4.
|
Accounting Treatments of Equity Incentives
|
5.
|
Verification Opinions of Intermediary Agencies
|
Professional Structure of Employees
|
Percentage of Total Number
of Employees
|
|
Management Personnel
|
29
|
8.10%
|
Marketing Sales Personnel
|
10
|
2.79%
|
After-sales Service Personnel
|
76
|
21.23%
|
Production Personnel
|
84
|
23.46%
|
Finance Personnel
|
9
|
2.51%
|
Technology Research and Development Personnel
|
150
|
41.90%
|
Total
|
358
|
100.00%
|
Educational Level of Employees
|
Headcount
|
Percentage of Total Number
of Employees
|
Master degree and above
|
72
|
20.11%
|
Bachelor degree
|
140
|
39.11%
|
College degree and below
|
146
|
40.78%
|
Total
|
358
|
100.00%
|
Age Distribution of Employees
|
Headcount
|
Percentage of Total Number
of Employees
|
50 and above
|
13
|
3.63%
|
40-49
|
28
|
7.82%
|
30-39
|
162
|
45.25%
|
30 and below
|
155
|
43.30%
|
Total
|
358
|
100.00%
|
1.
|
Basic situation of main business
|
Number
|
Field of Customer
|
Name of Customer
|
1
|
Wafer manufacturing
|
Hynix, Huahong Group, Yangtze Memory, SMIC, Hefei Changxin
|
2
|
Advanced Packaging
|
JCET, TFME, SJsemi, Nepes
|
3
|
Manufacturing and recycling of semiconductor silicon wafer
|
ZING SEMI, Wafer Works, Wafer Works, PSI
|
4
|
Research institutes
|
Institute of Microelectronics of Chinese Academy of Sciences, Shanghai Integrated Circuit, NCAP
|
2.
|
Main products
|
Main products
|
Technical characteristics
|
Application field
|
Semiconductor cleaning equipment
|
||
Single-chip cleaning equipment
|
The equipment can simultaneously clean the front and back of the wafer. Each equipment can be equipped with a variety of chemical liquids, which can be applied to single-chip wet cleaning and single-chip
wet etching technology.
|
The equipment can be used for front and back cleaning of film deposition in chip manufacturing, cleaning after dry etching, cleaning after ion implantation ashing, cleaning after chemical mechanical
grinding, cleaning after polishing and epitaxy, cleaning for chemical wet etching and other process.
|
SAPS single-chip cleaning equipment
|
On the basis of the traditional single-chip cleaning equipment configuration, the equipment is equipped with the megasonic cleaning technology (SAPS) independently developed by the company. The equipment
is mainly aimed at the cleaning process of flat
|
The equipment can be used for front and back cleaning of film deposition in chip manufacturing, cleaning after dry etching, cleaning after ion implantation ashing, cleaning after
|
wafer surfaces and deep holes, focusing on the removal of small particles. In the process below 45nm, it effectively solves the cleaning problem of organic contamination and particles after etching, which greatly improves the cleaning efficiency. | chemical mechanical grinding, cleaning after polishing and epitaxy process and other process. | |
TEBO single-chip cleaning equipment
|
On the basis of the configuration of the traditional single-chip cleaning equipment, the equipment is equipped with the megasonic cleaning technology of Timely Energized Bubble Oscillation (TEBO), which
is independently developed by the company, to provide efficient cleaning of 3D structure wafers. In the case where the high aspect ratio of 3D chip is gradually improved, TEBO technology can stabilize the bubble’s oscillation to achieve
low damage or even zero damage.
|
The equipment can be used for front cleaning of film deposition in chip manufacturing, cleaning after dry etching, cleaning after ion implantation ashing and other process.
|
Single-chip slot-type combined cleaning equipment
|
Its integrated single-cavity cleaning module and slot-type cleaning module integrate the slot-type degumming process with the single-chip cleaning process. Compared with the traditional single-chip
cleaning equipment, it can greatly save the amount of sulfuric acid, and the cleaning ability is comparable to
|
The equipment can be used in photoresist stripping and cleaning of chip manufacturing, cleaning after dry etching, cleaning after ion implantation, cleaning after chemical mechanical grinding, metal
|
the single-chip cleaning equipment. | film removal and other process. | |
Single-chip back cleaning equipment
|
The equipment uses non-contact clamping method of Bernoulli suspension, which can effectively protect the surface of wafer device and perform the cleaning or wet etching for the spray chemical liquid on
the back of the wafer. It can be used for ultra-thin wafers with large warping degree or bonded wafers with carriers.
|
It can be used for the cleaning and wet etching process of the wafer backside in chip manufacturing.
|
Scrubbing equipment for front end
|
The equipment adapts a single-chip cavity to clean the front and back of the wafer according to the working procedure. It can perform cleaning process including wafer backside scrubbing, wafer edge
scrubbing, front and back cleaning for two-phase fluid, etc .; the equipment occupies a small area with high productivity and strong stability. There are various cleaning methods to be flexibly selected.
|
It can be used in the scrubbing process from the front end to the last end in chip manufacturing.
|
Slot-type cleaning equipment
|
The equipment uses pure water, alkaline and acidic liquids as cleaning agents, and is combined with cleaning methods such as spray, hot dip, overflow and bubbling, and is equipped with advanced IPA drying
method to clean the wafers in batches.
|
It can be used for cleaning, wet etching, film stripping, photoresist removal and other processes in the field of chip manufacturing.
|
Advanced packaging wet equipment
|
cavity. The equipment occupies a small area, consumes less chemicals and pure water with high flexibility in process adjustment. | as copper, titanium, nickel, tin, gold, etc. |
Gumming equipment
|
The equipment uses a single-chip cavity to perform the photoresist spin coating on the surface of the wafer, and completes the subsequent roasting and cooling working procedure in the hot and the cold
plate. The equipment pioneers the self-cleaning function of the cavity, which replaces the traditional manual disassembly of the cavity for cleaning, avoiding the damage to the machine caused by frequent manual disassembly of the
precision gumming machine. At the same time, the cleaning efficiency is greatly improved, the maintenance cost of the machine is reduced, and the service life of the machine is increased.
|
It can be used for the coating process of positive and negative glue and thin and thick glue for 12-inch and 8-inch wafers in advanced packaging.
|
Developing equipment
|
The equipment uses a single-chip cavity to spray the developing solution on the surface of the wafer, and cleans and dries the wafer after spraying the developing solution. The equipment combines the
developing technology of Spray and puddle.
|
It can be used for the developing process of 12-inch and 8-inch wafers in advanced packaging.
|
Degumming machine
|
The equipment integrates slot-type degumming with single-chip degumming, completes the soaking process in the slot, softens and removes most of the thick glue. The single-chip degumming can complete the
subsequent removal of residual glue, contaminants and particles and make up for the shortcomings of insufficient capacity of single-chip equipment.
|
It can be used for the degumming process of 12-inch and 8-inch wafers in advanced packaging.
|
Advanced packaging scrubbing equipment
|
The equipment uses a single-chip cavity to spray chemical liquid or deionized water on the front and back of the wafer to achieve the cleaning, and use the physical brush to clean the wafer.
|
It can be used for the scrubbing and cleaning process of 12-inch and 8-inch wafers in advanced packaging.
|
Stress-free polishing equipment
|
Stress-free polishing machine (Ultra SFP) is based on the principle of electro-chemistry and integrates stress-free polishing, chemical mechanical polishing, and wet etching processes. In advanced
packaging applications, it can greatly reduce the consumption of polishing solution and reduce chemical emissions.
|
It can be used for 3D TSV, 2.5D silicon interposer, RDL, HD Fan-out, and others in advanced packaging.
|
Other equipment
|
Vertical furnace tube equipment
|
The equipment can handle wafers process in batches to complete the deposition process of different types of non-metal thin films on the surface of wafers. It is mainly used for polycrystalline silicon,
silicon nitride, silicon oxide and other thin films.
|
It can be used for the film deposition of polycrystalline silicon, silicon nitride, and silicon oxide in front end process of logical circuits and memory circuits.
|
3.
|
Composition of main business income
|
Project
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
|
Amount
|
Proportion
|
Amount
|
Proportion
|
|
Semiconductor cleaning equipment
|
62,522.30
|
84.10%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
Among them: single-chip cleaning equipment
|
55,099.52
|
74.12%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
Slot-type cleaning equipment
|
4,801.36
|
6.46%
|
-
|
-
|
-
|
-
|
Single-chip slot-type combined cleaning equipment
|
2,621.43
|
3.53%
|
-
|
-
|
-
|
-
|
Semiconductor
|
7,857.39
|
10.57%
|
1,191.13
|
2.21%
|
-
|
-
|
electroplating equipment | ||||||
Advanced packaging wet equipment
|
3,961.12
|
5.33%
|
2,634.07
|
4.88%
|
3,421.33
|
13.73%
|
Total
|
74,340.81
|
100.00%
|
53,961.17
|
100.00%
|
24,913.81
|
100.00%
|
1.
|
Profit model
|
2.
|
Research and Development model
|
3.
|
Procurement model
|
4.
|
Production model
|
5.
|
Sales model
|
1.
|
Evolution situation of the company’s main business and products
|
1.
|
The competent authority and supervision mechanism of the industry
|
2.
|
The main laws, regulations and policies of the industry and its influence on the operation and development of the issuer
|
1.
|
Industry Development
|
|
① |
The market scale of the global semiconductor industry is large
|
|
② |
Integrated circuits are the most important component of the semiconductor industry.
|
|
③ |
The global semiconductor industry is expected to continue to shift towards mainland China in the future
|
Country/Region
|
2019 (E)
|
2018
|
2017
|
|||
Sales
Percentage
|
Growth
|
Sales
Percentage
|
Growth
|
Sales
Percentage
|
Growth
|
|
US
|
21.90%
|
1.44%
|
22.14%
|
19.58%
|
21.47%
|
35.03%
|
Europe
|
9.02%
|
1.95%
|
9.08%
|
13.25%
|
9.29%
|
17.13%
|
Japan
|
8.39%
|
2.52%
|
8.39%
|
9.58%
|
8.88%
|
13.33%
|
Asia-Pacific
|
60.69%
|
3.06%
|
60.39%
|
16.00%
|
60.36%
|
19.40%
|
Mainland China
|
32.37%
|
3.50%
|
32.08%
|
16.60%
|
31.90%
|
22.10%
|
Total
|
100.00%
|
2.55%
|
100.00%
|
15.94%
|
100.00%
|
21.62%
|
|
④ |
China’s semiconductor industry continues to grow rapidly
|
Year
|
2019
|
2018
|
2017
|
2016
|
2015
|
2014
|
2014-2019
Compound Growth
|
|
Chip design
|
Sales
|
3,063.50
|
2,519.3
|
2,073.5
|
1,644.3
|
1,325.0
|
1,047.4
|
23.94%
|
Growth
|
21.60%
|
21.5%
|
26.1%
|
24.1%
|
26.5%
|
29.5%
|
||
Wafer manufacturing
|
Sales
|
2,149.10
|
1,818.2
|
1,448.1
|
1,126.9
|
900.8
|
712.1
|
24.72%
|
Growth
|
18.20%
|
25.6%
|
28.5%
|
25.1%
|
26.5%
|
18.5%
|
||
Packaging & testing
|
Sales
|
2,349.70
|
2,193.9
|
1,889.7
|
1,564.3
|
1,384.0
|
1,255.9
|
13.35%
|
Growth
|
7.10%
|
16.1%
|
20.8%
|
13.0%
|
10.2%
|
14.3%
|
||
Total
|
Sales
|
7,562.30
|
6,531.4
|
5,411.3
|
4,335.5
|
3,609.8
|
3,015.4
|
20.19%
|
Growth
|
15.78%
|
20.7%
|
24.8%
|
20.1%
|
19.7%
|
20.2%
|
|
① |
Classification of semiconductor special equipment
|
|
② |
Characteristics of the semiconductor special equipment industry
|
②
|
Upstream and downstream industries of the semiconductor special equipment industry
|
|
③ |
Situation of the semiconductor special equipment industry
|
Ranking
|
Manufacturer
|
Main Product Fields
|
Sales
|
1
|
Applied Material
|
Deposition, etching, ion implantation, grinding, etc.
|
140.16
|
2
|
ASML
|
Photolithography equipment
|
127.72
|
3
|
TEL
|
Deposition, etching, developing, cleaning, etc.
|
109.15
|
4 | LAM |
Etching, deposition, cleaning, etc.
|
108.71
|
5
|
KLA
|
Detecting and measurement equipment
|
42.10
|
Total
|
527.84
|
SN
|
Equipment
|
Localization Rate
|
Major Domestic Manufacturers
|
1
|
Film stripping equipment
|
Above 90%
|
Beijing E-Town Semiconductor Technology Co., Ltd.
|
2
|
Cleaning equipment
|
About 20%
|
ACMSH, NAURA
|
3
|
Etching equipment
|
About 20%
|
AMEC, NAURA, Beijing E-Town Semiconductor Technology Co., Ltd.
|
4
|
Heat processing equipment
|
About 20%
|
NAURA, Beijing E-Town Semiconductor Technology Co., Ltd.
|
5
|
PVD equipment
|
About 10%
|
NAURA
|
6
|
CMP equipment
|
About 10%
|
Tianjin Hwatsing Electromechanical Technology Co., Ltd.
|
7
|
Film lamination and developing equipment
|
Breakthrough of zero
|
KINGSEMI
|
8
|
Photolithography equipment
|
Breakthrough of zero expected
|
Shanghai Micro Electronics Equipment (Group) Co., Ltd. (“SMEE”)
|
|
④ |
Future development trend of the semiconductor special equipment industry
|
2D NAND |
|
3D NAND |
|
① |
Cleaning equipment
|
Pollutant
|
Source
|
Main Harm
|
Particles
|
Environment and other engineering processes
|
Affect the subsequent photolithography and dry etching processes, causing device short circuit.
|
Natural oxidation layer
|
Environment
|
Affect the subsequent oxidation and deposition processes, causing the electrical property to fail.
|
Metal pollution
|
Environment and other engineering processes
|
Affect the subsequent oxidation process, causing the electrical property to fail.
|
Organic matters
|
Dry etching byproducts and environment
|
Affect the subsequent deposition process, causing the electrical property to fail.
|
Sacrificial layer
|
Oxidation/deposition process
|
Affect specific subsequent processes, causing the electrical property to fail.
|
Polishing residues
|
Grinding fluid
|
Affect specific subsequent processes, causing the electrical property to fail.
|
Category
|
Cleaning
Method
|
Cleaning
Medium
|
Process Introduction
|
Application Characteristics
|
Wet cleaning
|
Solution immersion
|
Chemical solution
|
It is mainly used for tank cleaning equipment. The wafer to be cleaned is put into the solution to be soaked. Through the chemical reaction between the solution and the surface of the
wafer and impurities, the pollutant can be removed.
|
This method is widely used. Different chemical solutions can be selected for different impurities; its productivity is high, and multiple wafers can be immersed at the same time; the
cost is low, and the chemical consumption allocated to each wafer is small; it can easily cause cross-contamination between wafers, though.
|
Mechanical scrubbing
|
Deionized water
|
The main configuration includes a special brush. With deionized water, this
|
Its advantages are low cost, simple process and good removal effect for micron
|
|
Megasonic cleaning
|
Chemical solution + megasonic assistance
|
This method is similar to ultrasonic cleaning, but it uses megasonic wave with 1-3MHz process frequency.
|
The removal effect of small particles is great, and it has obvious advantages in high-aspect-ratio structure cleaning. After the cavity bubbles are accurately controlled, the
megasonic wave can also be applied to the cleaning of exquisite graphic structures of wafers; the cost is high, though.
|
Batch rotary spray cleaning
|
High-pressure spray deionized water or cleaning solution
|
The cleaning chamber is equipped with a rotary table, which can load at least two wafer boxes at a time. During the rotation process, the liquid spray spout continuously sprays liquid to the surface of
the wafer to remove the impurities on the surface of the wafer.
|
Compared with the traditional tank cleaning, this method uses less chemical solution; the area occupied by the machine is small; however, there is the risk of cross-contamination between chemical
solutions, if a single wafer generates debris, all wafers in the entire cleaning chamber are at risk of being scrapped.
|
|
Dry cleaning
|
Plasma cleaning
|
Oxygen plasma
|
Under the action of strong electric fields, oxygen generates plasma, which makes photoresist vaporize rapidly and become volatile
|
The process is simple, the operation is convenient and environment-friendly, and the surface will be clean without scratches. It is difficult to
|
|
gas substance to be extracted. | control such process and the cost is high. | ||
Gas phase cleaning
|
Gas equivalents of chemical reagents
|
The vapor equivalent of the corresponding substance in the liquid process is used to interact with the contaminating substance on the surface of the wafer.
|
Its chemical consumption is small, and its cleaning efficiency is high. But it cannot effectively remove metal contaminants. It is difficult to control such process and the cost is
high.
|
|
Beam cleaning
|
High-energy beam-like material
|
The impurities on the wafer surface can be removed through their interaction with the high-energy beam-like material flow.
|
This technology is relatively novel, and it consumes less cleaning solution. It can also prevent secondary pollution. It is difficult to control such process and the cost is high.
|
Equipment
Type
|
Cleaning Mode
|
Application Characteristics
|
Single-wafer cleaning equipment
|
Rotary spray, megasonic cleaning, double-fluid cleaning, mechanical scrubbing, etc.
|
It has extremely high process environment control ability and particle removal ability and can effectively solve the problem of cross-contamination between wafers; each cleaning
chamber can only clean a single wafer at a time, and the equipment capacity is low.
|
Wet bench cleaning equipment
|
Solution immersion, megasonic cleaning, etc.
|
The cleaning capacity is high, so the equipment is suitable for batch production, but the control of particles and wet etching speed is poor, and the risk of cross-contamination is
high.
|
Combined cleaning equipment
|
Solution immersion+rotary spray combined cleaning
|
Its capacity is relatively high; so is the cleaning precision. It can greatly reduce the consumption of concentrated sulfuric acid. The product price is relatively high, though.
|
Batch rotary spray cleaning equipment
|
Rotary spray
|
Compared with the traditional wet bench cleaning equipment, the batch rotary equipment can achieve the process requirements of sulfuric acid with a temperature of 120ºC or even 200ºC;
the control of various process parameters is difficult, and all the wafers in the whole cleaning chamber are at risk of being scrapped after wafer fragmentation.
|
Principle of single-wafer cleaning: |
|
Principle of wet bench cleaning: |
|
② |
Semiconductor electroplating equipment
|
|
③ |
Advanced packaging equipment
|
1.
|
Semiconductor cleaning equipment
|
|
(1) |
Single-wafer cleaning equipment
|
|
① |
SAPS megasonic cleaning equipment is mainly used to clean flat wafer surfaces and high-aspect-ratio throughhole internal structures
|
|
② |
TEBO megasonic equipment is mainly applied to the cleaning of graphic wafers, including advanced 3D wafer structures
|
|
(2) |
Single-wafer & tank combined cleaning equipment
|
2.
|
Semiconductor electroplating equipment
|
3.
|
Semiconductor copper polishing equipment
|
4.
|
Advanced packaging wet cleaning equipment
|
|
① |
Advanced packaging cleaning equipment
|
|
② |
Single-wafer film lamination equipment
|
|
③ |
Single-wafer developing equipment
|
|
④ |
Single-wafer & tank combined film stripping equipment
|
|
⑤ |
Single-wafer wet etching equipment
|
5.
|
Vertical furnace tube equipment
|
1.
|
Market positions of the issuer’s products or services
|
Ranking
|
Enterprise
|
1
|
AMEC
|
2
|
NAURA
|
3
|
CETC Electronics Equipment Group Co., Ltd.
|
4
|
ACMSH
|
5
|
Kingsemi
|
10 Data source: 3D NAND Industry Picture & Yangtze Memory’s Flexible Estimate of Domestic Equipment, Industrial Securities, Nov. 2019
11 Data source: Semiconductor Equipment Localization Topic Nine – Cleaning Equipment, BOC Securities, May 2020
2.
|
Major enterprises in the industry
|
|
(1) |
Overseas enterprises in the industry
|
|
① |
Applied Materials (Applied Materials, Inc.)
|
|
② |
ASML (ASML Holding N.V.)
|
|
③ |
KLA (KLA CORPORATION)
|
|
④ |
DNS (SCREEN Holdings Co., Ltd.)
|
|
⑤ |
TEL (TOKYO ELECTRON LTD.)
|
|
⑥ |
LAM (LAM RESEARCH CORPORATION)
|
|
① |
AMEC (Advanced Micro-Fabrication Equipment Inc. China)
|
|
② |
NAURA (NAURA Technology Group Co.,Ltd.)
|
|
③ |
Kingsemi (Shenyang XinYuan Microelectronic Equipment Co., Ltd.)
|
|
④ |
Changchuan Technology (Hangzhou Changchuan Technology Co., Ltd)
|
|
⑤ |
PNC (Shanghai PNC Process Systems Co., Ltd.)
|
1.
|
Competitive advantages
|
2.
|
Competitive disadvantages
|
1.
|
Development situation and opportunities of the industry
|
2.
|
Challenges
|
1.
|
Output and sales of main products
|
Product Category
|
Item
|
2019
|
2018
|
2017
|
Semiconductor cleaning equipment
|
Output
|
28
|
22
|
11
|
Sales
|
26
|
21
|
11
|
|
Output/sale ratio
|
92.86%
|
95.45%
|
100.00%
|
|
Semiconductor electroplating equipment
|
Output
|
4
|
-
|
1
|
Sales
|
4
|
1
|
-
|
|
Output/sale ratio
|
100.00%
|
-
|
-
|
|
Advanced packaging wet process equipment
|
Output
|
9
|
13
|
7
|
Sales
|
7
|
6
|
7
|
|
Output/sale ratio
|
77.78%
|
46.15%
|
100.00%
|
2.
|
Sales revenue of main products
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
|
Amount
|
Proportion
|
Amount
|
Proportion
|
|
Semiconductor cleaning equipment
|
62,522.30
|
84.10%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
In which: single-wafer cleaning equipment
|
55,099.52
|
74.12%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
Tank cleaning equipment
|
4,801.36
|
6.46%
|
-
|
-
|
-
|
-
|
Single-wafer & tank combined cleaning equipment
|
2,621.43
|
3.53%
|
-
|
-
|
-
|
-
|
Semiconductor electroplating equipment
|
7,857.39
|
10.57%
|
1,191.13
|
2.21%
|
-
|
-
|
Advanced packaging wet process equipment
|
3,961.12
|
5.33%
|
2,634.07
|
4.88%
|
3,421.33
|
13.73%
|
Total
|
74,340.81
|
100.00%
|
53,961.17
|
100.00%
|
24,913.81
|
100.00%
|
SN
|
Customer’s Field
|
Customer Name
|
1
|
Wafer manufacturing
|
Hynix, Huahong Group, Yangtze Memory, SMIC, Hefei Innotron
|
2
|
Advanced packaging
|
JCET, Fujitsu, SMIC Long Power, Nepes
|
3
|
Semiconductor silicon wafer manufacturing and recycling
|
Shanghai ZINGSEMI, JRH, Taiwan Wafer Works Corporation, Taiwan Phoenix Silicon
|
4
|
Scientific research institutes
|
Institute of Microelectronics of the Chinese Academy of Sciences, Shanghai IC, NCAP China
|
3.
|
Overall changes in sales prices
|
Item
|
2019
|
2018
|
2017
|
||
Average
Price
|
Growth
|
Average
Price
|
Growth
|
Average
Price
|
|
Semiconductor cleaning equipment:
|
|||||
Single-wafer cleaning equipment
|
2,504.52
|
4.90%
|
2,387.43
|
22.19%
|
1,953.86
|
Tank cleaning equipment
|
1,600.45
|
-
|
-
|
-
|
-
|
Single-wafer & tank combined cleaning equipment
|
2,621.43
|
-
|
-
|
-
|
-
|
Semiconductor electroplating equipment
|
1,964.35
|
64.91%
|
1,191.13
|
-
|
-
|
Advanced packaging wet process equipment
|
565.87
|
28.90%
|
439.01
|
-10.18%
|
488.76
|
2019
|
|||
SN
|
Name
|
Amount
|
Proportion
|
1
|
Yangtze Memory
|
21,888.34
|
28.92%
|
2
|
Huahong Group
|
20,734.59
|
27.40%
|
3
|
Hynix
|
15,193.35
|
20.08%
|
4
|
JCET
|
5,620.56
|
7.43%
|
5
|
SMIC
|
2,649.74
|
3.50%
|
Total
|
66,086.58
|
87.33%
|
|
2018
|
|||
SN
|
Name
|
Amount
|
Proportion
|
1
|
Huahong Group
|
12,667.23
|
23.02%
|
2
|
Yangtze Memory
|
12,653.88
|
23.00%
|
3
|
Hynix
|
12,117.32
|
22.02%
|
4
|
Qianjing International
|
6,935.04
|
12.60%
|
5
|
ACMR
|
6,081.94
|
11.05%
|
Total
|
50,455.41
|
91.69%
|
|
2017
|
SN
|
Name
|
Amount
|
Proportion
|
1
|
Qianjing International
|
13,844.90
|
54.60%
|
2
|
Hynix
|
6,784.03
|
26.75%
|
3
|
ACMR
|
4,389.52
|
17.31%
|
4
|
HANWOOL
|
183.01
|
0.72%
|
5
|
SMIC
|
109.95
|
0.43%
|
Total
|
25,311.40
|
99.81%
|
2019
|
|||
SN
|
Name
|
Amount
|
Proportion
|
1
|
Yangtze Memory
|
21,888.34
|
28.92%
|
2
|
Huahong Group
|
20,734.59
|
27.40%
|
3
|
Hynix
|
15,193.35
|
20.08%
|
4
|
JCET
|
5,620.56
|
7.43%
|
5
|
SMIC
|
2,649.74
|
3.50%
|
Total
|
66,086.58
|
87.33%
|
|
2018
|
|||
SN
|
Name
|
Amount
|
Proportion
|
1
|
Yangtze Memory
|
18,735.81
|
34.05%
|
2
|
Huahong Group
|
15,314.19
|
27.83%
|
3
|
Hynix
|
12,117.32
|
22.02%
|
4
|
JCET
|
2,536.22
|
4.61%
|
5
|
SMIC
|
2,188.16
|
3.98%
|
Total
|
50,891.71
|
92.49%
|
2017
|
|||
SN
|
Name
|
Amount
|
Proportion
|
1
|
Hynix
|
6,784.03
|
26.75%
|
2
|
Huahong Group
|
5,413.51
|
21.35%
|
3
|
SMIC
|
5,097.38
|
20.10%
|
4
|
Yangtze Memory
|
4,389.52
|
17.31%
|
5
|
JCET
|
2,403.48
|
9.48%
|
Total
|
24,087.92
|
94.99%
|
1.
|
Procurement of main raw materials
|
SN
|
Category
|
Content
|
1
|
Gas circuit
|
Valve, contact, filter, pump, flowmeter, gas control module pneumatic components, cylinder, sensor, etc.
|
2
|
Material transport
|
Robot arm, wafer transport platform, etc.
|
3
|
Machinery
|
Cavity parts, cavity cabinet, rack, etc.
|
4
|
Electric components
|
Electronic components, sensor, programmable control module, DC power supply, circuit breaker, etc.
|
5
|
Special apparatus
|
Heater, functional water, ozone generator, CO2 mixing generator, cooler, hydrogen generator, megasonic
generator, etc.
|
6
|
Drives
|
Motor and driver, guide rail, etc.
|
7
|
Others
|
Software, trunking, chemicals, procurement fees, etc.
|
SN
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
|
Amount
|
Proportion
|
Amount
|
Proportion
|
||
I. Gas circuit
|
1
|
Valve
|
3,538.90
|
7.85%
|
3,541.02
|
8.13%
|
1,237.20
|
6.96%
|
2
|
Contact
|
1,932.78
|
4.29%
|
2,311.47
|
5.31%
|
865.20
|
4.87%
|
3
|
Filter
|
1,790.03
|
3.97%
|
1,528.20
|
3.51%
|
734.48
|
4.13%
|
4
|
Pump
|
1,578.02
|
3.50%
|
1,741.01
|
4.00%
|
808.86
|
4.55%
|
5
|
Flowmeter
|
1,366.91
|
3.03%
|
1,429.32
|
3.28%
|
530.36
|
2.99%
|
6
|
Others
|
2,668.42
|
5.92%
|
1,762.56
|
4.05%
|
656.88
|
3.70%
|
Subtotal
|
12,875.05
|
28.55%
|
12,313.58
|
28.28%
|
4,832.98
|
27.21%
|
|
II. Machinery
|
|||||||
1
|
Cavity parts
|
5,801.98
|
12.87%
|
4,647.12
|
10.67%
|
1,596.99
|
8.99%
|
2
|
Cavity cabinet
|
2,306.51
|
5.12%
|
1,902.72
|
4.37%
|
681.95
|
3.84%
|
3
|
Rack
|
1,356.39
|
3.01%
|
923.24
|
2.12%
|
321.76
|
1.81%
|
4
|
Others
|
303.26
|
0.67%
|
7.30
|
0.02%
|
66.45
|
0.37%
|
Subtotal
|
9,768.14
|
21.66%
|
7,480.38
|
17.18%
|
2,667.14
|
15.01%
|
|
III. Material transport
|
|||||||
1
|
Robot arm
|
7,280.47
|
16.15%
|
5,951.56
|
13.67%
|
2,557.46
|
14.40%
|
2
|
Others
|
415.73
|
0.92%
|
110.03
|
0.25%
|
165.18
|
0.93%
|
Subtotal
|
7,696.20
|
17.07%
|
6,061.59
|
13.92%
|
2,722.64
|
15.33%
|
|
IV. Electric components
|
|||||||
1
|
Electronic parts and components
|
2,089.10
|
4.63%
|
660.90
|
1.52%
|
230.80
|
1.30%
|
2
|
Sensor
|
1,944.46
|
4.31%
|
2,547.59
|
5.85%
|
1,022.54
|
5.76%
|
3
|
Programmable control module
|
1,179.77
|
2.62%
|
2,465.20
|
5.66%
|
808.06
|
4.55%
|
4
|
Others
|
855.83
|
1.90%
|
2,611.14
|
6.00%
|
297.52
|
1.67%
|
Subtotal
|
6,069.17
|
13.46%
|
8,284.83
|
19.03%
|
2,358.93
|
13.28%
|
Raw Material Category and Model
|
Price Index
|
||
2019
|
2018
|
2017
|
|
Robot arm (8-cavity)
|
95.94
|
95.94
|
100.00
|
Robot arm (12-cavity)
|
95.79
|
95.79
|
100.00
|
Valve (402-1231)
|
100.00
|
100.00
|
100.00
|
Valve (402-1210)
|
100.00
|
100.00
|
100.00
|
Contact (400-1048)
|
103.02
|
102.89
|
100.00
|
Contact (400-1449)
|
102.99
|
102.65
|
100.00
|
Electronic parts and components (413-1165)
|
97.05
|
99.50
|
100.00
|
Megasonic generator (319-1073)
|
94.94
|
87.81
|
100.00
|
Megasonic generator (319-1047)
|
97.97
|
88.14
|
100.00
|
Cavity parts (110-6519)
|
93.69
|
96.28
|
100.00
|
Cavity cabinet
|
92.46
|
100.61
|
100.00
|
2.
|
Procurement of main energies and relevant price change tendencies
|
Energy
|
Item
|
2019
|
2018
|
2017
|
Water
|
Amount (RMB 10,000 Yuan)
|
17.16
|
10.78
|
3.96
|
Unit price (RMB Yuan/ton)
|
4.95
|
4.92
|
4.87
|
|
Electricity
|
Amount (RMB 10,000)
|
161.91
|
150.15
|
121.79
|
Unit price (RMB Yuan/kWh)
|
1.02
|
1.03
|
1.00
|
2019
|
||||
SN
|
Name
|
Amount
|
Proportion
|
Procured Items
|
1
|
ACMR
|
7,354.82
|
16.31%
|
Serving as an agent to procure valves, contacts, etc.
|
2
|
NINEBELL
|
5,955.30
|
13.21%
|
Robot arms, etc.
|
3
|
Goodwill Precision Machinery (SuZhou) Co.,Ltd
|
1,718.10
|
3.81%
|
Cavity parts, etc.
|
4
|
Shanghai Molan Electromechanical Equipment Co., Ltd.
|
1,419.04
|
3.15%
|
Programmable control modules, etc.
|
5
|
Wuxi PSK Technology Co., Ltd.
|
1,235.90
|
2.74%
|
Cavity cabinet
|
Total
|
17,683.16
|
39.22%
|
2018
|
||||
SN
|
Name
|
Amount
|
Proportion
|
Procured Items
|
1
|
ACMR
|
10,393.20
|
23.87%
|
Serving as an agent to procure valves, contacts, etc.
|
2
|
NINEBELL
|
5,201.20
|
11.95%
|
Robot arms, etc.
|
3
|
MKS Instruments (HK) Company Ltd.
|
1,849.24
|
4.25%
|
Ozone generator, etc.
|
4
|
Shanghai Molan Electromechanical Equipment Co., Ltd.
|
1,648.57
|
3.79%
|
Programmable control modules, etc.
|
5
|
Goodwill Precision Machinery (SuZhou) Co.,Ltd
|
1,392.46
|
3.20%
|
Cavity parts, etc.
|
Total
|
20,484.67
|
47.05%
|
||
2017
|
||||
SN
|
Name
|
Amount
|
Proportion
|
Procured Items
|
1
|
ACMR
|
4,726.39
|
26.61%
|
Serving as an agent to procure valves, contacts, etc.
|
2
|
NINEBELL
|
2,500.45
|
14.08%
|
Robot arms, etc.
|
3
|
Nomura
|
796.96
|
4.49%
|
Functional water, etc.
|
4
|
MKS Instruments (HK) Company Ltd.
|
787.26
|
4.43%
|
Ozone generator, etc.
|
5
|
Goodwill Precision Machinery (SuZhou) Co.,Ltd
|
744.27
|
4.19%
|
Cavity parts, etc.
|
Total
|
9,555.33
|
53.79%
|
2019
|
||||
SN
|
Name
|
Amount
|
Proportion
|
Procured Items
|
1
|
NINEBELL
|
5,955.30
|
13.21%
|
Robot arms, etc.
|
2
|
Advance Electric America Co., Inc.
|
2,442.60
|
5.42%
|
Valves, flowmeters, etc.
|
3
|
Goodwill Precision Machinery (SuZhou) Co.,Ltd
|
1,718.10
|
3.81%
|
Cavity parts, etc.
|
4
|
Harrington Industrial Plastics
|
1,438.74
|
3.19%
|
Contacts, etc.
|
5
|
Shanghai Molan Electromechanical Equipment Co., Ltd.
|
1,419.04
|
3.15%
|
Programmable control modules, etc.
|
Total
|
12,973.78
|
28.77%
|
2018
|
||||
SN
|
Name
|
Amount
|
Proportion
|
Procured Items
|
1
|
NINEBELL
|
5,201.20
|
11.95%
|
Robot arms, etc.
|
2
|
Advance Electric America Co., Inc.
|
2,579.86
|
5.93%
|
Valves, flowmeters, etc.
|
3
|
Product Systems Inc
|
2,520.36
|
5.79%
|
Ultrasonic generator, etc.
|
4
|
MKS Instruments (HK) Company Ltd.
|
1,849.24
|
4.25%
|
Ozone generator, etc.
|
5
|
Harrington Industrial Plastics
|
1,783.41
|
4.10%
|
Contacts, etc.
|
Total
|
13,934.07
|
32.00%
|
||
2017
|
||||
SN
|
Name
|
Amount
|
Proportion
|
Procured Items
|
1
|
NINEBELL
|
2,500.45
|
14.08%
|
Robot arms, etc.
|
2
|
Product Systems Inc
|
1,218.99
|
6.86%
|
Ultrasonic generator, etc.
|
3
|
Advance Electric America Co., Inc.
|
913.50
|
5.14%
|
Valves, flowmeters, etc.
|
4
|
Nomura
|
796.96
|
4.49%
|
Functional water, etc.
|
5
|
MKS Instruments (HK) Company Ltd.
|
787.26
|
4.43%
|
Ozone generator, etc.
|
Total
|
6,217.16
|
35.00%
|
Category
|
Original Value
|
Book Value
|
Newness Rate
|
Machines and equipment
|
2,787.16
|
1,196.90
|
42.94%
|
Means of transport
|
86.70
|
31.55
|
36.39%
|
Computers and electronic devices
|
312.83
|
148.48
|
47.46%
|
Office equipment
|
71.43
|
19.38
|
27.13%
|
Total
|
3,258.12
|
1,396.30
|
42.86%
|
1.
|
Issuer’s equipment
|
SN
|
Equipment
|
Original
Value
|
Book
Value
|
Newness
Rate
|
1
|
Double-beam system electron microscope
|
726.13
|
393.42
|
54.18%
|
2
|
Wafer surface particle scanning device
|
300.53
|
172.32
|
57.34%
|
3
|
Bench prototype Module 300mm Wet station (300mm tank automatic cleaning machine)
|
246.26
|
217.08
|
88.15%
|
4
|
Semiconductor etching equipment
|
145.55
|
7.28
|
5.00%
|
5
|
Silicon wafer stress and thickness measuring instrument FSM
|
125.07
|
72.70
|
58.13%
|
6
|
Chemical mixer
|
82.16
|
4.11
|
5.00%
|
7
|
Hitachi Ion Milling Equipment
|
72.90
|
56.77
|
77.87%
|
8
|
POGD-0220 shape measuring instrument
|
67.19
|
61.88
|
92.10%
|
9
|
Scanning electron microscope
|
65.75
|
3.29
|
5.00%
|
10
|
ECI Qualilab QL-10EZ copper plating solution analyzer
|
58.94
|
40.32
|
68.41%
|
2.
|
Issuer’s house ownership status
|
SN
|
Lessee
|
Lessor
|
Location
|
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
1
|
Issuer
|
Zhangjiang
|
Floors 1-5, Building 4,
|
5,900.28
|
Jan. 1, 2018 to
|
RMB 2 Yuan
|
Productio
|
SN
|
Lessee
|
Lessor
|
Location
|
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
Group | No. 1690, Cailun Road, Zhangjiang Hi-Tech Park, Shanghai | Dec. 31, 2024 | per day per building square meter (Jan. 1, 2018 to Dec. 31, 2022) RMB 2.7 Yuan per day per building square meter (Jan. 1, 2023 to Dec. 31, 2024) | n and operation | |||
2
|
Issuer
|
Shanghai Shengyu Culture Development Co., Ltd.
|
Whole building of Building 2, No. 365, Chuanhong Road, Shanghai
|
9,629.87
|
Sep. 26, 2019 to Jan. 15, 2023
|
RMB 389,824 Yuan per month (Since Jan. 16, 2020, the rent has increased by 5% every year on the basis of the previous year)
|
Assembly, warehousing, and office
|
3
|
Issuer
|
Sk Hynix Semiconductor (China) Ltd.
|
Section K7, Export Processing Area, Wuxi, Jiangsu
|
15.07
|
Jan. 1, 2020 to Dec. 31, 2020
|
RMB 362 Yuan per month
|
Office
|
4
|
Issuer | Wuhan | Room 207, 2nd Floor, |
91
|
Apr. 1, 2019 to | RMB 45 Yuan |
Office
|
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
|
|
Geological Resources Environmental Industry Technology Research Institute Co., Ltd.
|
Building 10, Phase I, IGE Industrial Incubation Base, East of Future 3rd Road, South of Keji 5th Road, East Lake New Technology Development District, Wuhan
|
|
Mar. 31. 2021
|
per month per building square meter
|
|
5
|
Issuer
|
Wuxi Dongxing Yuehua Machinery Technology Co., Ltd.
|
Room 1113, Building 61, Tianan Digital City, No. 55 Changshan Avenue, High-tech District, Jiangyin, Jiangsu
|
157.7
|
Jul. 29, 2019 to Jul. 28, 2020
|
RMB 35,000 Yuan per year
|
Industrial Office
|
6
|
Issuer
|
Shanghai HLMC
|
Section A, 2F, project building (E1) in Party A’s factory, No.100 Gubo Road, South Section of Kangqiao Industrial Zone, Pudong New Area, Shanghai
|
74.4
|
Jan. 1, 2019 to Dec. 31, 2020
|
RMB 123 Yuan per month per square meter
|
Office
|
7
|
Issuer
|
Gong **
|
Room 207, Building 3, Hailan MinghuaYuan, No. 35, Yanling Road, Jiangyin City, Jiangsu Province
|
110
|
Oct. 12, 2019 to Oct. 12, 2020
|
RMB 2,500 Yuan per month
|
Residence
|
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
8
|
Issuer
|
Wu **
|
Room 302, Building 15, Hailan Famous Garden, No. 35, Yanling Road, Jiangyin City, Jiangsu Province
|
179.5
|
Apr. 25, 2020 to Apr. 24, 2021
|
RMB 3,800 Yuan per month
|
Residence
|
9
|
Issuer
|
Xiang **
|
Room 403, Building 26, Xinhua Third Village, Jiangyin City, Jiangsu Province
|
140
|
Dec. 22, 2019 to Dec. 21, 2020
|
RMB 3,650 Yuan per month
|
Residence
|
10
|
Issuer
|
Shen **
|
Room 406, Building 29, Xinhua Third Village, Jiangyin City, Jiangsu Province
|
111.73
|
Sep. 23, 2019 to Sep. 22, 2020
|
RMB 3,800 Yuan per month
|
Residence
|
11
|
Issuer
|
Chen **
|
Room 2602, Building 45, Shangdong YaYuan, Xincheng District, Xinwu District, Jiangsu Province
|
81.67
|
Dec. 1, 2019 to Nov. 31, 2020
|
RMB 3,675 Yuan per month
|
Residence
|
12
|
Issuer
|
Liu **
|
Room 601, Building 17, Xinzhou Renjia, Xinwu District, Jiangsu Province
|
136.65
|
Oct. 1, 2019 to Sep. 30, 2020
|
RMB 3,500 Yuan per month
|
Residence
|
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
13
|
Issuer
|
Hua **
|
Room 201, Building 20, Xinzhou Garden, Xinwu District, Jiangsu Province
|
128.39
|
Oct. 1, 2019 to Sep. 30, 2020
|
RMB 3,500 Yuan per month
|
Residence
|
14
|
Issuer
|
Zhang **
|
606-1-302, Green Town, Yizhuang Sub-district Office, Daxing District, Beijing
|
159.7
|
Jul. 18, 2019 to Jul. 17, 2020
|
RMB 9,500 Yuan per month
|
Residence
|
15
|
Issuer
|
Cui **
|
12-1-301, Tianbao Sili, Beijing Economic and Technological Development District, Beijing
|
137.1
|
Apr. 15, 2020 to Apr. 14, 2021
|
RMB 7,000 Yuan per month
|
Residence
|
16
|
Issuer
|
Yan **
|
Room 2204, Unit 1, Building 3, Baihu Community, Zuoling New Town, East Lake High-tech District, Wuhan
|
100
|
May. 4, 2020 to May 4, 2021
|
RMB 4,200 Yuan per month
|
Residence
|
17
|
Issuer
|
Luo **
|
No. 2601, Unit 2, Building 13, Community 3, Zuoling New Town, East Lake High-tech District, Wuhan
|
100
|
Nov. 12, 2019 to Nov. 11, 2020
|
RMB 35,400 Yuan per year
|
Residence
|
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
18
|
Issuer
|
Hu **
|
Room 804, Unit 1, Building 13, Yuquan Community, Huangbeiling Community, Zuoling New Town, East Lake High-tech District, Wuhan
|
100
|
Jan. 6, 2020 to Jan. 6, 2021
|
RMB 3,000 Yuan per month
|
Residence
|
19
|
Issuer
|
Wang **
|
No. 01, Floor 1, Unit 2, Building 3, District 8, Modern International Garden, 106 Guanggu Avenue, East Lake New Technology Development District, Wuhan
|
145.7
|
Sep. 10, 2019 to Sep. 10, 2020
|
RMB 6,500 Yuan per month
|
Residence
|
20
|
Issuer
|
Wang **
|
Room 604, No. 6, Alley 346, Hejie Road, Pudong New District, Shanghai
|
90
|
Jun. 6, 2019 to Jun. 5, 2020
|
RMB 4,300 Yuan per month
|
Residence
|
21
|
Issuer
|
Wang **
|
Room 502, No. 25, Alley 346, Hejie Road, Pudong New District, Shanghai
|
90
|
Jun. 6, 2019 to Jun. 5, 2020
|
RMB 4,000 Yuan per month
|
Residence
|
22 | Issuer |
Wang **
|
Room 401, No. 35,
|
102 |
Jun. 12, 2019 to
|
RMB 4,300
|
Residence |
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
|
|
|
Alley 346, Hejie Road, Pudong New District, Shanghai
|
|
Jun. 11, 2020
|
Yuan per month
|
|
23
|
Issuer
|
Chen **
|
Room 5C, Building 8, Phase 4, Lanfeng City Garden, Luoshan Street, Fupu Comprehensive Development District, Jinjiang
|
133.81
|
Sep. 9, 2019 to Sep. 8, 2020
|
RMB 3,500 Yuan per month
|
Residence
|
24
|
Issuer
|
Song **
|
Room 906, No. 24, NanzhuangYuan, Gaoliu Community, Hefei
|
80
|
Nov. 10, 2019 to Nov. 9, 2020
|
RMB 32,000 Yuan per year
|
Residence
|
25
|
Issuer
|
Yang **
|
Room 202, No. 24, NanzhuangYuan, Gaoliu Community, Hefei
|
80
|
Feb. 25, 2020 to May 31, 2021
|
RMB 2,599 Yuan per month
|
Residence
|
26
|
Issuer
|
Chen **
|
Room 602, No. 66, Lane 99, Jinhe Road, Pudong New District, Shanghai
|
101.61
|
Jun. 1, 2019 to May 31, 2021
|
RMB 12,000 Yuan per month
|
Residence
|
27
|
Issuer
|
Li **
|
Room 506, No. 282 Dangui Road, Shanghai
|
108.35
|
Dec. 16, 2019 to Dec. 15, 2020
|
RMB 6,000 Yuan per month
|
Residence
|
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent
|
Use
|
28
|
Issuer
|
Jin **
|
Room 902, No. 24, Alley 828, Chenhui Road, Pudong New District, Shanghai
|
60.89
|
Oct. 15, 2019 to Oct. 14, 2020
|
RMB 8,700 Yuan per month
|
Residence
|
29
|
Issuer
|
Liu **, Xue **
|
Room 401, Unit B, 4th Floor, Building 31, Liuli, Tianbao Home, Beijing Economic Development District, Beijing
|
91.62
|
May 6, 2020 to May 5, 2021
|
RMB 6,500 Yuan per month
|
Residence
|
30
|
Issuer
|
Guo **
|
Parking Spaces 7 and B3F, No. 27, 5th Floor, No. 27, Guanxin Road, Hsinchu City, Taiwan (No. 281, No. 282)
|
79.88
|
Oct. 1, 2019, Sep. 30, 2021
|
NTD 42,000 per month
|
Office, warehousing
|
31
|
ACM Wuxi
|
Wuxi Xingzhou Industrial Park Development Co., Ltd.
|
Room 6 in Lot J1, Export Processing Zone, Wuxi New District, Wuxi
|
10
|
Nov. 11, 2015 to Dec. 31, 2024
|
-
|
Office, production and operation
|
32
|
ACM Wuxi
|
Wuxi ChuangYuan Asset Management Co., Ltd.
|
33-1-601-04-01 & 02 (IC Design Building B604-1 & 2), Xinda Road, Xinwu District, Wuxi
|
148.55
|
April 1, 2020 to March 31, 2022
|
RMB 33 Yuan per month per square meter
|
Office
|
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent |
Use
|
33
|
ACM California
|
ACMR
|
42307 Osgood Road, Room B, Suite #I, Fremont CA 94539
|
1,500 square feet (about 139.35 square meters)
|
Jan. 1, 2020 to Mar. 31, 2022
|
USD 3,510 per month (2020.1.1-2020.3.31); USD 3,600 per month (Apr. 1, 2020-Mar. 31, 2021)
|
Office, warehouse
|
34
|
ACM South Korea
|
Jeong **
|
Room 402, Floor 4, Modern City Plaza, Outer Section 3, 726-9 Ami-ri Bubal-up, Icheon-si, Gyeonggi-do
|
164.55
|
Dec. 1, 2019 to Dec. 1, 2021
|
KRW 1,280,000 per month
|
Business facilities
|
35
|
ACM South Korea
|
Kim **
|
Rooms 101, 102, and 103, Floor 1, Sicox Tower, Sangdaewon-dong 517-14, Jungwon-gu, Seongnam-si, Gyeonggi-do
|
448.47
|
March 31, 2019 to March 30, 2024
|
KRW 5,000,000 per month
|
Factory
|
36 |
ACM South Korea
|
Aggregate Corporation of Seongnam
|
Rooms 1204&1205, Sicox Tower, No. 484 Dunchon-daero
|
342.97
|
April 30, 2019 to April 30, 2021
|
KRW 2,500,000 per month
|
Research institute
|
SN | Lessee | Lessor | Location |
Leased
Area (m2)
|
Term of Lease
|
Rent |
Use
|
36
|
ACM South Korea
|
Industry Park Management Community
|
(Sangdaewon-dong 517-14), Jungwon-gu, Seongnam-si, Gyeonggi-do
|
|
|
|
|
37
|
ACM South Korea
|
Aggregate Corporation of Seongnam Industry Park Management Community
|
Room 1206, Sicox Tower, No.484 Dunchon-daero (Sangdaewon-dong 513-14), Jungwon-gu, Seongnam-si, Gyeonggi-do
|
188.78
|
Feb. 13, 2020 to April 30, 2021
|
KRW 1,200,000 per month
|
Research institute
|
1.
|
Land use rights
|
2.
|
Patents
|
3.
|
Trademarks
|
SN
|
Patentee
|
Patent Name
|
Patent
Type
|
Application
No./Patent No.
|
Application
Date
|
Registration
Place
|
MEASUREMENTSAND REMOVAL OF BARRIER AND SACRIFICIAL LAYERS | ||||||
3
|
ACMR
|
Controlling the uniformity of removal rate of electropolishing in IC manufacturing
|
Invention
|
094105429
|
2005.2.23
|
Taiwan (China)
|
4
|
ACMR
|
The method and system of monitoring electropolishing process of metal layers, the system and monitoring methods and system of metal layers formed by electropolishing on wafers
|
Invention
|
093136793
|
2004.11.26
|
Taiwan (China)
|
5
|
ACMR
|
Electropolishing metal layers on wafers having trenches or vias with dummy structures
|
Invention
|
10/108614
|
2002.3.27
|
US
|
Core Technology Name
|
Source of
Technology
|
Patented or
Protected
by Other
Measures
|
Technology
Advancement
|
Technology
Maturity
|
|
Cleaning equipment
|
SAPS Megasonic Cleaning Technology
|
Self-developed
|
Patented
|
International Advanced
|
Mass production
|
SAPS Hydrogen-Functional Water Technology
|
Self-developed
|
Patented
|
International Advanced
|
Mass production
|
|
Separate Discharge and Recovery System of Chemical Solution
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Self-developed
|
Patented
|
Domestic advanced
|
Mass production
|
||
Self-developed
|
Patented
|
Domestic advanced
|
Mass production
|
||
Intelligent Exhaust Device with Automatic Cleaning
|
Self-developed
|
Patented
|
Domestic advanced
|
Mass production
|
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
||
TEBO and Gas Atomizing Two-Fluid Integrated Cleaning Device
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
|
Wet bench Tahoe combined high temperature sulfuric acid cleaning technology for single wafer
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
Wafer Moisturizing System for Interaction Zone of wet bench and single wafer Based on Tahoe Equipment
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
|
Fully automatic wet bench cleaning equipment
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Single wafer Backside Cleaning Technology
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Design of Double-gas Bernoulli Chuck and Labyrinth Bearing Based on single wafer Backside Cleaning Equipment
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Semiconductor electroplating equipment
|
Multi-anode Electroplating Technology
|
Self-developed
|
Patented
|
International Advanced
|
Mass production
|
Sealing Technology of Electroplating Fixture
|
Self-developed
|
Patented
|
International Advanced
|
Mass production
|
|
Multi-anode Flow Field Distribution Control Technology
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Gas Flow Distribution Technology in Annealing Cavity
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Modular layout of electroplating equipment
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
Automatic rotation spray head technology for edge cleaning
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Advanced packaging equipment
|
SFP Technology
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
SFP Liquid Electrode Technology
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
|
SFP Fixture Technology
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
|
Application Technology of SFP Double Damascus Process
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
|
Application Technology of SFP Advanced Packaging Process
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
|
Hot gas phase etching technology
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
|
Gluing cavity with automatic cleaning function
|
Self-developed
|
Patented
|
International leading
|
Mass production
|
|
Nitrogen assisted heat treatment unit
|
Self-developed
|
Patented
|
Domestic advanced
|
Mass production
|
|
Optimized secondary rotation gluing process for thick glue
|
Self-developed
|
Patented
|
Domestic advanced
|
Mass production
|
|
Compact and high yield structure of wet process equipment
|
Self-developed
|
Patented
|
Domestic advanced
|
Mass production
|
|
Wet bench single wafer combined equipment based on degluing process of packaging equipment
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
Wet cleaning equipment suitable for TSV process
|
Self-developed
|
Published Paper of the International Conference
|
Domestic leading
|
Mass production
|
|
Wet TSV backside outcrop process and device
|
Self-developed
|
Patented
|
Domestic advanced
|
Mass production
|
|
Wet Etching Equipment with Automatic Coating Thickness Adjustment Function
|
Self-developed
|
Patented
|
Domestic leading
|
Mass production
|
2.
|
Technical advancement and specific representation of the issuer
|
3.
|
Application and contribution of core technologies in main business and products or services
|
Project
|
2019
|
2018
|
2017
|
Income from core technology products
|
74, 340.81
|
53, 961.17
|
24, 913.81
|
Operating income
|
75,673.30
|
55,026.91
|
25,358.73
|
Proportion of income from core technology products
|
98.24%
|
98.06%
|
98.25%
|
4.
|
Protection measures for core technologies
|
1.
|
Important awards received by the Company
|
SN
|
Name of Award
|
Awarding time
|
Awarding body
|
9
|
The development and application of single wafer gluing equipment won the third prize of Shanghai Pudong New Area Science and Technology Award
|
January 2017
|
Shanghai Pudong New Area People’s Government
|
10
|
China’s Top 10 Semiconductor Equipment Units in 2015
|
May 2016
|
China Electronics Special Equipment Industry Association
|
11
|
Ultra C SAPS Megasonic single wafer Cleaning Equipment won the Bronze Medal of the Fifteenth China International Industrial Expo:
|
November 2013
|
Organizing Committee of China International Industrial Expo
|
12
|
The development and application of 45nm-22nm single wafer wafer cleaning equipment won the second prize of Pudong New Area Science and Technology Award
|
October 2013
|
Shanghai Pudong New Area People’s Government
|
13
|
The Superior Winning Enterprise of start-up group in second China Innovation and Entrepreneurship Competition (Shanghai Competition Region) in 2013
|
September 2013
|
|
14
|
March 2010
|
China Semiconductor Industry Association, China Electronics Materials Industry Association, China Electronics Special
|
SN
|
Name of Award
|
Awarding time
|
Awarding body
|
Technologies Award
|
Equipment Industry Association, China Electronics Daily | ||
15
|
The 12-inch 65-nm single wafer cleaning equipment won the 2008 China International Industrial Expo Innovation Award
|
November 2008
|
Organizing Committee of China International Industrial Expo
|
2.
|
Major scientific research projects undertaken by the Company
|
Serial Number
|
Project name
|
Department
|
Project Category
|
Implementation cycle
|
Budget
(RMB10,000 Yuan)
|
Progress
|
Field of Technology
|
1
|
Development and Industrialization of Copper electroplating Equipment (Ultra ECPTM) and SFP Equipment (Ultra SFPTM) in Semiconductor Copper Manufacturing Process
|
The promotion office of Shanghai leading group of prospering the city with science and education
|
Key Industry Science and Technology Tackling Project in prospering the city with science and education plan in 2005
|
From January 2006 to August 2009
|
22,000.00
|
Post-assessment
Completed
|
Copper electroplating, SFP, cleaning
|
Serial Number |
Project name
|
Department
|
Project
Category
|
Implementation cycle
|
Budget
(RMB10,000 Yuan)
|
Progress
|
Field of Technology | |
2
|
Development of 65-45nm SFP Equipment for Copper Interconnect
|
Development of SFP Subsystem and Process for SFP
|
Ministry of Science and Technology
|
China 02 Major Science and Technology Special Project
|
October 2008 to September 2016
|
34,538.00
|
Application for acceptance has been submitted
|
SFP, copper electroplating
|
Integrated Alpha-Tool and Process Development
|
||||||||
3
|
Development and Application of 20-14nm Copper Interconnect Copper electroplating Equipment
|
Development and Application of 45-14nm Copper Interconnect Copper electroplating Equipment
|
Ministry of Science and Technology
|
China 02 Major Science and Technology Special Project
|
January 2014 to December 2019
|
18,444.50
|
Electroplating
|
|
4
|
ACM Research (Shanghai), Inc.
|
Shanghai Municipal Commission of Science and Technology
|
Small Giant Project of Science and Technology
|
From January 1, 2017 to December 31, 2018
|
5,967.00
|
Acceptance completed
|
Wet-process equipment
|
Serial Number |
Project name
|
Department |
Project
Category
|
Implementation cycle |
Budget
(RMB10,000 Yuan)
|
Progress
|
Field of Technology |
5
|
Topic 1: A Study of PTFE Molding and Sintering Technology of Development and Industrialization of Polytetrafluoroethylene Cavity Manufacturing Technology for Semiconductor Equipment
|
Shanghai Municipal Commission of Science and Technology
|
Action Plan for Scientific and Technological Innovation
|
From July 1, 2018 to June 30, 2020
|
450.00
|
In implementation
|
Verify PTFE material
|
6
|
Shanghai Intellectual Property Office
|
Pilot Enterprise of Patent Work
|
September 2017 to August 2019
|
80.00
|
About to be accepted
|
Wet-process equipment
|
7
|
R & D and Industrialization of Single wafer wet bench Combined Cleaning equipment
|
Shanghai Development and Reform Commission, Shanghai Economic and Credit Commission
|
Major Project of Strategic Emerging Industry in Shanghai
|
May 2019 to December 2021
|
11,276.00
|
In implementation
|
Wet-process equipment
|
Serial
Number
|
Project name
|
Research content and
objectives to be
achieved
|
Corresponding personnel
|
The stage
and
progress
of the
project
|
Comparison
with the
technology
level in the
industry
|
1
|
SAPS Megasonic Cleaning Technology
|
Develop cleaning processes for flat wafer surface and deep hole, such as cleaning before and after thin coating deposition, cleaning after dry etching, cleaning after ion implantation ashing, cleaning
after chemical mechanical polishing, etc. Focusing on the removal of small particles, the process below 45 nm effectively solves the organic contamination and cleaning of the particles after etching, and the cleaning efficiency is
greatly improved; and develop the cleaning process applied to the polishing and epitaxial processes of the wafer manufacturing process.
|
Independent research and development
|
Process Verification Stage
|
Having reached the international advanced level
|
2
|
ECP Electrochemical Electroplating Technology
|
Application 1: Logic and storage products: ECP map developed by the company can be applied to 12-inch wafer fabrication at 28 nm and above nodes, as well as more advanced technology nodes; in terms of
application breadth, The ECP map device can be applied to products with 3D structure such as FinFET, DRAM and 3D NAND, as well as metal line interconnections of new nano devices and quantum devices in the future.
Application 2: Wafer-level advanced packaging: The advanced package plating equipment ECPap developed by the company can be mainly applied to copper, nickel, tin, silver and gold plating processes in
advanced Pillar Bump, RDL, HD Fan-Out and TSV packaging.
|
Independent research and development
|
Process Verification Stage
|
Having reached the international advanced level
|
3
|
Wet Bench Slot-type Cleaning Technology
|
Through the study of wet bench treatment process, we master the influence of wet bench cleaning process on relevant parts, the performance of parts at high temperature, and the optimization of
parameters of the process.
|
Independent research and development
|
Process Verification Stage
|
Having reached the domestic leading level
|
4
|
Backside cleaning technology
|
Develop the technology of removing back surface coating, etching of polysilicon on back surface of wafer and coating reduction on back surface of wafer, and the main performance indexes are up to the
international advanced level, which is suitable for 55nm and above, 40nm and 28nm technology nodes
|
Independent research and development
|
Process Verification Stage
|
Having reached the domestic leading level
|
5
|
TEBO Megasonic Cleaning Technology
|
Aiming at the difficulties of future cleaning technologies, such as micro-fragile structure cleaning, high aspect ratio structure cleaning, micro-particle removal and material loss control, based on the
current TEBO megasonic cleaning technology, Develop applications to extend to smaller size and higher aspect ratio structures, as well as acoustic wave control models for different sizes and different structures, in conjunction with
TEBO cleaning processes for extremely dilute liquids, to control less material loss.
|
Independent research and development
|
Process Verification Stage
|
Having reached the international advanced level
|
6
|
Research & Development and Industrialization of Tahoe Single wafer wet bench Combined Cleaning Equipment
|
The equipment includes modules such as wet bench cleaning and single wafer cleaning cavities, which can be used in front end and back end processes of 12-inch wafer production line: (1) Reduce
operating costs: compared to current single wafer high-temperature sulfuric acid cleaning equipment it largely reduces the amount of high-temperature sulfuric acid used; (2) reduce the emission, which is beneficial to environmental
protection; (3) integrate the wet bench and single wafer cleaning process, reduce the process steps, improve the process performance, and shorten the product production cycle..
|
Independent research and development
|
Process validation of 40 nm and 28 nm
|
First innovation in the world, preliminary data show that cleaning efficiency is equivalent to single wafer high-temperature sulfuric acid cleaning equipment, which can greatly save the amount of
sulfuric acid
|
7
|
SFP Copper Polishing Technology
|
Application 1: Front end interconnects planarization: Integrated SFP copper polishing Ruk process and wet etching process, applicable for Copper interconnects structure ruthenium barrier layer removal
of 5 nm process of 12 inch wafer production line: (1) solve the problem of low rate of removal of ruthenium barrier layer by chemical mechanical grinding; (2) reduce environmental pollution, The electrochemical polishing solution and
wet etching solution can be recycled to reduce emission and process cost.
Application 2: Advanced packaging metal layer planarization: SFP ap copper polishing equipment process combined with wet etching process,which can be used in RDL, HD Fan-Out, TSV structure metal copper
layer and its barrier layer planarization process: (1) Process no stress (2) reduces the amount of CMP used, reduces the emission, reduces the process cost, and protects the environment.
|
Independent research and development
|
Process verification of 5 nm below progress
|
The innovation technology line is waiting to be verified; meet the same level of international industry enterprises
|
8
|
Fully Automatic wet bench phosphoric acid cleaning technology
|
The apparatus can be used in the front end hot phosphate nitride thin coating wet etching process of 12-inch wafer production line: (1) the phosphoric acid temperature is generally above 160 centigrade,
Select appropriate equipment materials and exhaust capacity; (2) ensure the heating capacity of phosphoric acid and the stability of hot phosphoric acid temperature during the process; (3) increase of phosphoric acid concentration at
high temperature will lead to decrease of silicon nitride etching rate, How to maintain the concentration of water in the hot phosphoric acid solution is the key to maintain a stable etch rate of silicon nitride; (4) How to control
the Si content of the hot phosphoric acid solution.
|
Independent research and development
|
Engineering design phase
|
Meeting the same level of international industry enterprises
|
9
|
Vertical Furnace Tube Technology
|
The apparatus can be used in a 12-inch wafer production line to mainly implement different types of thin coating deposition processes on the wafer surface: (1) wafer automatic transfer module; (2)
process cavity module, including a vacuum chamber, a heating furnace, (3) a reaction gas path control and distribution module, (4) a temperature control module, (5) an exhaust gas treatment module, and (6) a software control module,
and the field of application will be developed to oxidation and diffusion furnaces, and finally to ALD applications.
|
Independent research and development
|
The device has entered the client and is being installed
|
Waiting for process and reliability results
|
10
|
Research & Development and Industrialization of Polytetrafluoroethylene Cavity Manufacturing Technology for Semiconductor Equipment
|
By developing and optimizing the molding, sintering and machining process, realize the PTFE material production process which can be applied to the products and parts of the company.
|
Co-developed with Shanghai Sanaifu New Materials Technology Co., Ltd and Yixun Automobile Equipment (Shanghai) Co., Ltd
|
Process validation
|
Having reached the advanced level of the industry
|
Project
|
2019
|
2018
|
2017
|
R & D input
|
9,926.80
|
7,941.50
|
5,217.24
|
Operating income
|
75,673.30
|
55,026.91
|
25,358.73
|
Ratio
|
13.12%
|
14.43%
|
20.57%
|
1.
|
Establish and improve the R & D system, promote independent R & D and pay attention to intellectual property protection
|
2.
|
Increase investment in R & D to ensure the operation of innovation mechanism
|
3.
|
Build a fair and effective incentive mechanism to enhance the enthusiasm of R & D personnel
|
4.
|
Strengthen the personnel training system and strengthen the development of R & D teams
|
Serial
Number
|
Reference Number of Meeting
|
Time of Holding
|
Attendees
|
1
|
Establishment Meeting
|
November 14, 2019
|
All shareholders or shareholder representatives
|
2
|
First-session Extraordinary General Meeting of Shareholders in 2019
|
November 29, 2019
|
All shareholders or shareholder representatives
|
3
|
First-session Extraordinary General Meeting of Shareholders in 2020
|
March 30, 2020
|
All shareholders or shareholder representatives
|
4
|
Second-session Extraordinary General Meeting of Shareholders in 2020
|
May 15, 2020
|
All shareholders or shareholder representatives
|
Serial Number
|
Sequence Number of Meeting of
Board of Directors
|
Time of Holding
|
Attendees
|
1
|
First Session Meeting of the First Board of Directors
|
November 14, 2019
|
All directors
|
2
|
Second Session Meeting of the First Board of Directors
|
December 31, 2019
|
All directors
|
3
|
Third Session Meeting of the First Board of Directors
|
March 13, 2020
|
All directors
|
4
|
Fourth Session Meeting of the First Board of Directors
|
April 30, 2020
|
All directors
|
Serial
Number
|
Sequence Number of Supervisory
Board
|
Time of Holding
|
Attendees
|
1
|
First Session Meeting of the First Supervisory Board
|
November 14, 2019
|
All supervisors
|
2
|
Second Session Meeting of the First Supervisory Board
|
November 25, 2019
|
All supervisors
|
3
|
Third Session Meeting of the First Supervisory Board
|
December 31, 2019
|
All supervisors
|
4
|
Fourth Session Meeting of the First Supervisory Board
|
March 13, 2020
|
All supervisors
|
5
|
Fifth Session Meeting of the First Supervisory Board
|
April 30, 2020
|
All supervisors
|
Committee
|
Convener
|
Members
|
Strategy Committee
|
HUI WANG
|
HUI WANG, HAIPING DUN, STEPHEN SUN-HAI CHIAO
|
Audit Committee
|
MINGXIU PENG
|
MINGXIU PENG, ZHANBING REN, STEPHEN SUN-HAI CHIAO
|
Nomination Committee
|
DI ZHANG
|
DI ZHANG, MINGXIU PENG, QIANLI LUO
|
Compensation and Appraisal Committee
|
ZHANBING REN
|
ZHANBING REN, DI ZHANG, HAIPING DUN
|
ZHONGRUI XIA
|
Spouse of QIAN DONG, a supervisor
|
Ruizhang Technology Co., Ltd.
|
Chairman
|
Shanghai Lianwan Investment Management Center (Limited Partnership)
|
Executive Partner
|
||
Amlogic Holding Ltd
|
Director
|
||
Shanghai Ruizhang Internet of Things Technology Co., Ltd.
|
Chairman
|
||
Shanghai Yuezhang Investment Co., Ltd.
|
Director, General Manager
|
||
Shanghai Ruizhang Investment Co., Ltd.
|
Director, General Manager
|
||
Shanghai Wearlinks Technology Inc.
|
Chairman
|
||
Shanghai Datatist Information Technology Inc.
|
Director
|
||
Chongqing Ruizhang Technology Co., Ltd.
|
Executive Director
|
||
Aliaen Technology, LLC
|
Chairman
|
Name
|
Position in
ACMR
|
Entity in which the Part-time Position is
Held/Controlled Entity
|
Information on
the Part-time
Position/Control
|
CHENMING HU
|
Director
|
Ambarella Inc.
|
Director
|
Inphi Corporation
|
Director
|
||
ZHENGFAN YANG
|
Director
|
Huaxin Investment Management Co., Ltd.
|
Deputy General Manager of Investment III Department
|
AMEC
|
Director
|
||
Hubei Xinhua Equity Investment Management Co., Ltd.
|
Director
|
||
Shenyang Piotech Co., Ltd.
|
Deputy Chairman
|
||
Hangzhou Changchuan Technology Co., Ltd.
|
Director
|
||
Jiangsu Xinhua Semiconductor Materials Technology Co., Ltd.
|
Director
|
||
GrandiT Co., Ltd.
|
Director
|
||
NAURA
|
Director
|
||
Jiangsu Yoke Technology Co., Ltd.
|
Director | ||
Darbond Technology Co., Ltd.
|
Director | ||
National Silicon Industry Group Co., Ltd.
|
Director | ||
Shanghai Precision Measurement Semiconductor Technology, Inc.
|
Director | ||
Raintree Scientific Instruments (Shanghai) Corporation
|
Director | ||
YINAN XIANG
|
Director
|
Shanghai Science and Technology Venture Capital (Group) Co., Ltd.
|
Deputy General Manager
|
Shanghai Venture Capital Consultant Co., Ltd.
|
Executive Director
|
||
Ensense Biomedical Technologies (Shanghai) Co., Ltd
|
Director
|
||
Shanghai International Wine Exchange Center Co., Ltd.
|
Director
|
||
Shanghai Sand Information Technology System Co., Ltd
|
Director
|
||
CETC Shanghai Microwave Communication CO., LTD
|
Director
|
||
Shanghai COSUNET Technology Co., Ltd.
|
Director
|
||
Shanghai Radk-Tech Hydraulic System Co., Ltd.
|
Director
|
||
RolandBerger Yunsai (Shanghai) Enterprise Service Co., Ltd.
|
Director
|
Serial
Number
|
Name of Related Party
|
Information on Related Relationship
|
1
|
NINEBELL
|
HUI WANG, a director of the Company, serves as a director of this company
|
2
|
Shanghai Jingmeng Silicon Materials Co., Ltd.
|
PAT PING-HAI CHIAO, a brother of STEPHEN SUN-HAI CHIAO who is a director of the Company, served as a director of this company
|
3
|
Taiwan Wafer Works
|
PAT PING-HAI CHIAO, a brother of STEPHEN SUN-HAI CHIAO who is a director of the Company, served as the Chairman and CEO of this company
|
4
|
AMEC
|
ZHENGFAN YANG, a director of ACMR, serves as a director of this company
|
5
|
Shanghai Sand Information Technology System Co.
|
YINAN XIANG, a director of ACMR, serves as a director of this company
|
6
|
Law and Law
|
Charles Law, a director of ACMR, serves as the managing partner of this firm
|
Serial
Number
|
Name of Related Party
|
Information on Related Relationship
|
1
|
Shengxin Shanghai
|
JIAN WANG, the general manager of the Issuer, holds 100% of equity interest in ShengYuan Management Consulting (Shanghai) Co., Ltd. which was the general partner of Shengxin Shanghai
|
2
|
Shanghai Integrated Circuit
|
LING LIN and ZHIDE Yuan served as directors of the Company during the Reporting Period, who serves or served directors of Shanghai Integrated Circuit
|
Name
|
Shengxin (Shanghai) Management Consulting Partnership (L.P.)
|
Uniform Social Credit Code
|
91310115MA1K3BAU2L
|
Principal Place of Business
|
Room 210-32, 2nd Floor, Building 1, No.38 Debao Road, China (Shanghai) Pilot Free Trade Zone
|
Executive Partner
|
Xinrun Management Consulting (Shanghai) Co., Ltd.
|
Category of Company
|
Limited Partnership
|
Scope of Business
|
Enterprise management consultancy; enterprise marketing planning; commercial information consultancy; market information consultancy and investigation (being prohibited from engaging in social
investigation, social survey, public opinion survey, public opinion poll); conference services. [For projects subject to any approval in accordance with laws, business activities may be carried out only after such approval of relevant
authorities has been obtained]
|
Date of Establishment
|
May 4, 2016
|
Name of Partner
|
Category
of
Partner
|
Shareholding
Percentage
|
Amount of Subscribed
Contribution (in RMB
ten thousand)
|
Position
|
YIQUN HU
|
Limited Partner
|
15.50%
|
325.000
|
No position in the Company
|
LINLI YU
|
Limited Partner
|
10.97%
|
230.000
|
No position in the Company
|
XIAOHONG WANG
|
Limited Partner
|
9.54%
|
200.000
|
No position in the Company
|
YUN MA
|
Limited Partner
|
9.54%
|
200.000
|
No position in the Company
|
YITONG TANG
|
Limited Partner
|
7.75%
|
162.500
|
No position in the Company
|
GANG HUANG
|
Limited Partner
|
4.65%
|
97.500
|
No position in the Company
|
WEI CAO
|
Limited Partner
|
3.34%
|
70.000
|
No position in the Company
|
FUPING CHEN
|
Limited Partner
|
2.94%
|
61.750
|
Deputy General Manager
|
WENQING JI
|
Limited Partner
|
0.77%
|
16.250
|
Core Manager
|
XUEJUN LI
|
Limited Partner
|
0.77%
|
16.250
|
Core Technician
|
ANYUN BI
|
Limited Partner
|
0.62%
|
13.000
|
Core Manager
|
XIAOWEI DI
|
Limited Partner
|
0.62%
|
13.000
|
Core Business Personnel
|
YANLI HU
|
Limited Partner
|
0.62%
|
13.000
|
Core Business Personnel
|
MINLI GU
|
Limited Partner
|
0.39%
|
8.125
|
Core Manager
|
YU WANG
|
Limited Partner
|
0.39%
|
8.125
|
Core Business Personnel
|
YUFENG HUANG
|
Limited Partner
|
0.31%
|
6.500
|
Core Business Personnel
|
TAO TAO
|
Limited Partner
|
0.23%
|
4.875
|
Core Business Personnel
|
GUANGYU XIA
|
Limited Partner
|
0.23%
|
4.875
|
Core Business Personnel
|
FANGYONG ZHEN
|
Limited Partner
|
0.23%
|
4.875
|
Core Business Personnel
|
WENJUN WANG
|
Limited Partner
|
0.23%
|
4.875
|
Core Business Personnel
|
Xinrun Management Consulting (Shanghai) Co., Ltd.
|
General Partner
|
0.02%
|
0.500
|
-
|
Total
|
-
|
100.00%
|
2,097.25
|
-
|
Related Party
|
Content of
Transaction
|
2019
|
2018
|
2017
|
|||
Amount
|
Percentage
of
Operating Costs
|
Amount
|
Percentage
of
Operating Costs
|
Amount
|
Percentage
of
Operating Costs
|
||
ACMR
|
semiconductor cleaning equipment
|
-
|
-
|
6,081.94
|
11.05%
|
4,389.52
|
17.31%
|
Taiwan Wafer Works
|
semiconductor cleaning equipment
|
-
|
-
|
496.31
|
0.90%
|
-
|
-
|
services and
|
11.27
|
0.01%
|
accessories | |||||||
Shanghai Jingmeng Silicon Materials Co., Ltd.
|
semiconductor cleaning equipment
|
793.33
|
1.05%
|
-
|
-
|
-
|
-
|
services and accessories
|
43.71
|
0.06%
|
7.31
|
0.01%
|
12.14%
|
0.05%
|
|
Shanghai Integrated Circuit
|
semiconductor cleaning equipment
|
-
|
-
|
2,646.96
|
4.81%
|
825.58
|
3.26%
|
Total
|
848.31
|
1.12%
|
9,232.52
|
16.78%
|
5,227.24
|
20.62%
|
Item
|
2019
|
2018
|
2017
|
Remuneration of Key Managers
|
578.06
|
446.77
|
317.88
|
Person
Providing
Security
|
Secured
Amount
|
Starting Date
|
Expiring Date
|
Status of
Performance
|
HUI WANG
|
3,000.00
|
March 1, 2018
|
March 1, 2021
|
In performance
|
HUI WANG
|
5,500.00
|
February 25, 2019
|
January 23, 2020
|
Completed
|
HUI WANG
|
5,000.00
|
February 25, 2019
|
February 24, 2020
|
Completed
|
HUI WANG
|
2,200.00
|
February 19, 2019
|
January 13, 2020
|
Completed
|
HUI WANG
|
1,000.00
|
January 24, 2018
|
January 23, 2019
|
Completed
|
HUI WANG
|
500.00
|
September 30, 2017
|
September 29, 2018
|
Completed
|
HUI WANG
|
2,750.00
|
August 21, 2017
|
August 20, 2018
|
Completed
|
Related Party
|
Amount of
Money
Borrowed/Lent
|
Starting Date
|
Expiring Date
|
Borrow-in
|
|||
Shengxin Shanghai
|
RMB 20.1235 million Yuan
|
December 9, 2016
|
October 30, 2023
|
ACMR
|
USD 6 million Dollars
|
December 10, 2017
|
November 6, 2019
|
Lend-out
|
|||
ACMR
|
USD 5 million Dollars
|
June 21, 2019
|
June 21, 2020
|
Item
|
2019
|
2018
|
2017
|
Allowances of Chinese directors of ACMR
|
44.70
|
-
|
-
|
U.S. listing fees of ACMR
|
-
|
8.61
|
33.58
|
Patent fees of ACMR
|
2.64
|
11.02
|
11.54
|
Others
|
3.38
|
7.23
|
2.18
|
Item
|
Corporation
|
December 31,
2019
|
December 31,
2018
|
December 31,
2017
|
Receivables
|
ACMR
|
-
|
3,257.34
|
4,307.41
|
Shanghai Jingmeng Silicon Materials Co., Ltd.
|
97.47
|
1.18
|
6.82
|
|
Taiwan Wafer Works
|
6.17
|
-
|
-
|
|
Shanghai Integrated Circuit
|
-
|
-
|
78.41
|
Prepaid Amounts
|
ACMR
|
309.73
|
-
|
-
|
NINEBELL
|
243.07
|
392.61
|
149.63
|
|
Other Receivables
|
ACMR
|
3,693.14
|
139.93
|
113.06
|
JIAN WANG
|
1.03
|
2.33
|
4.13
|
|
FUPING CHEN
|
1.90
|
1.28
|
1.22
|
|
Shengxin Shanghai
|
-
|
-
|
16.92
|
|
Long-term Receivables
|
Shengxin Shanghai
|
1,371.16
|
2,092.29
|
-
|
Payables
|
ACMR
|
2,419.57
|
5,482.65
|
3,442.52
|
NINEBELL
|
587.43
|
1,013.81
|
1,387.54
|
|
Shengyi Technology
|
340.45
|
-
|
-
|
|
Deposits received
|
Shanghai Jingmeng Silicon Materials Co., Ltd.
|
941.79
|
710.34
|
-
|
Taiwan Wafer Works
|
-
|
-
|
343.05
|
|
Other Payables
|
ACMR
|
3,458.32
|
5,817.63
|
4,963.77
|
Shengxin Shanghai
|
1,288.95
|
2,053.84
|
-
|
|
Shengyi Technology
|
-
|
75.00
|
-
|
|
HUI WANG
|
19.29
|
7.86
|
-38.70
|
|
JIAN WANG
|
10.49
|
3.63
|
6.06
|
|
FUPING CHEN
|
3.43
|
-
|
7.64
|
|
LISA YI LU FENG
|
3.74
|
0.41
|
21.93
|
|
Long-term Payables
|
ACMR
|
1,371.16
|
2,092.29
|
-
|
Shengxin Shanghai
|
-
|
-
|
2,033.72
|
Item
|
2019
|
2018
|
2017
|
Related Procurement
|
13,914.93
|
16,219.86
|
7,333.38
|
Related Sales
|
848.31
|
9,232.52
|
5,227.24
|
Remuneration of Key Managers
|
578.06
|
446.77
|
317.88
|
Fees Paid for the account of others
|
50.72
|
26.86
|
47.30
|
Other related transactions
|
123.97
|
45.30
|
37.54
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Current assets:
|
|||
Cash and bank balances
|
440,029,105.69
|
95,828,639.76
|
45,153,190.82
|
Accounts receivables
|
209,896,421.78
|
173,605,541.67
|
97,704,922.53
|
Prepayments
|
11,244,578.61
|
13,360,469.02
|
3,905,821.92
|
Other receivables
|
47,638,486.72
|
17,160,806.70
|
6,373,285.85
|
Inventory
|
307,274,118.34
|
264,159,937.04
|
135,531,891.91
|
Other current assets
|
192,567,769.71
|
3,717,096.53
|
989,597.80
|
Total current assets
|
1,208,650,480.85
|
567,832,490.72
|
289,658,710.83
|
Non-current assets:
|
|||
Long-term receivables
|
14,841,790.94
|
24,704,508.34
|
696,937.77
|
Long-term equity investments
|
30,719,024.14
|
739,752.09
|
|
Fixed assets
|
13,963,028.87
|
16,384,814.69
|
14,169,046.69
|
Construction in progress
|
3,702,119.11
|
-
|
-
|
Intangible assets
|
2,400,762.49
|
1,881,893.23
|
693,745.70
|
Long-term deferred expenses
|
8,295,263.44
|
8,689,887.89
|
1,122,116.94
|
deferred tax assets
|
20,120,805.29
|
11,086,424.72
|
12,457,173.66
|
Other non-current assets
|
5,308,201.87
|
4,702,696.64
|
2,122,055.55
|
Total non-current assets
|
99,350,996.15
|
68,189,977.60
|
31,261,076.31
|
Total Assets
|
1,308,001,477.00
|
636,022,468.32
|
320,919,787.14
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Current liabilities:
|
|||
Short-term borrowings
|
96,958,575.62
|
64,835,620.60
|
33,300,000.00
|
Accounts payable
|
143,174,383.74
|
188,211,170.82
|
88,130,207.32
|
Deposit received
|
68,022,078.58
|
68,258,641.92
|
8,603,248.60
|
Employee benefits payable
|
13,478,935.67
|
3,416,152.85
|
649,603.00
|
Taxes payable
|
29,038,214.04
|
9,241,710.55
|
70,040.30
|
Other payables
|
61,905,739.91
|
91,372,797.93
|
63,154,597.49
|
Total current liabilities
|
412,577,927.56
|
425,336,094.67
|
193,907,696.71
|
Non-current liabilities:
|
|||
Long-term payables
|
13,711,646.54
|
20,922,885.14
|
24,529,579.95
|
Long-term employee benefits payable
|
1,114,296.57
|
212,643.68
|
|
Estimated liabilities
|
22,053,589.22
|
13,163,850.07
|
3,859,193.72
|
Deferred income
|
28,615,025.37
|
31,339,538.78
|
50,264,734.81
|
Total non-current Liabilities
|
65,494,557.70
|
65,638,917.67
|
78,653,508.48
|
Total liabilities
|
478,072,485.26
|
490,975,012.34
|
272,561,205.19
|
Shareholders’ Equity
|
|||
Share capital / paid in capital
|
390,201,347.00
|
213,124,950.00
|
213,124,950.00
|
Capital reserve
|
366,545,796.07
|
7,748,794.15
|
3,751,014.56
|
Other comprehensive income
|
115,559.34
|
163,531.34
|
2,827.06
|
Surplus reserve
|
7,471,613.51
|
-
|
-
|
Retained earnings
|
65,594,675.82
|
-75,989,819.51
|
-168,520,209.67
|
Total shareholders’ equity attributable to the parent company
|
829,928,991.74
|
145,047,455.98
|
48,358,581.95
|
Minority interest
|
-
|
-
|
-
|
Total shareholders’ equity
|
829,928,991.74
|
145,047,455.98
|
48,358,581.95
|
Total liabilities and shareholders’ equity
|
1,308,001,477.00
|
636,022,468.32
|
320,919,787.14
|
Item
|
2019
|
2018
|
2017
|
I. Total operating income
|
756,732,956.80
|
550,269,055.81
|
253,587,250.17
|
Including: Operating income
|
756,732,956.80
|
550,269,055.81
|
253,587,250.17
|
II. Total operating cost
|
626,548,338.60
|
464,868,954.67
|
252,729,671.93
|
Including: operating cost
|
415,158,395.29
|
307,096,125.81
|
140,427,291.41
|
Taxes and surcharges
|
647,614.16
|
421,488.03
|
285,904.30
|
Selling expenses
|
84,754,934.36
|
60,046,855.52
|
43,493,320.88
|
Administrative expenses
|
30,297,265.12
|
20,404,116.85
|
13,942,792.96
|
Research and development expenses
|
99,268,029.88
|
79,414,978.15
|
52,172,371.35
|
Financial expenses
|
-3,577,900.21
|
-2,514,609.69
|
2,407,991.03
|
Including: Interest expenses
|
7,456,097.63
|
5,047,846.77
|
2,082,525.24
|
Interest income
|
2,046,399.55
|
638,352.04
|
58,452.12
|
Add: Other income
|
26,715,646.95
|
20,876,330.34
|
15,965,166.08
|
Investment income (“-” for loss)
|
1,240,299.89
|
-10,247.91
|
-
|
Including: investment income from associated enterprises and joint ventures
|
-20,727.95
|
-10,247.91
|
-
|
Credit impairment losses (“-” for loss)
|
-2,235,058.65
|
-
|
-
|
Asset impairment losses (“-” for loss)
|
-788,808.94
|
-2,373,682.39
|
-2,986,596.54
|
III. Operating profit (“-” for loss)
|
155,116,697.45
|
103,892,501.18
|
13,836,147.78
|
Add: Non-operating income
|
33,632.92
|
54,367.47
|
217,903.96
|
Less: Non-operating expenses
|
2,031,271.51
|
1,115,198.33
|
713,472.88
|
IV. Total profit (“-” for total losses)
|
153,119,058.86
|
102,831,670.32
|
13,340,578.86
|
Less: Income tax expenses
|
18,231,716.42
|
10,301,280.16
|
2,479,984.75
|
V. Net profit (“-” for net loss)
|
134,887,342.44
|
92,530,390.16
|
10,860,594.11
|
(I) Classified by business continuity
|
|||
1. Net profit from continuing operations (“-” for net loss)
|
134,887,342.44
|
92,530,390.16
|
10,860,594.11
|
2. Net profit from discontinued operations (“-” for net loss)
|
-
|
-
|
-
|
(II) Classified by ownership
|
|||
1. Net profits attributable to shareholders of the parent company (“-” for net loss)
|
134,887,342.44
|
92,530,390.16
|
10,860,594.11
|
2. Profit or loss of minority interest (“-” for net loss)
|
-
|
-
|
-
|
VI. Other comprehensive income, net of tax
|
-47,972.00
|
160,704.28
|
2,827.06
|
Other comprehensive income attributable to owners of the parent company, net of tax
|
-47,972.00
|
160,704.28
|
2,827.06
|
(I) Other comprehensive income that cannot be reclassified to profit or loss
|
-
|
-
|
-
|
(II) Other comprehensive income to be reclassified to profit or loss
|
-47,972.00
|
160,704.28
|
2,827.06
|
Including: Foreign currency translation reserve
|
-47,972.00
|
160,704.28
|
2,827.06
|
Other comprehensive income attributable to owners of minority shareholders, net of tax
|
-
|
-
|
-
|
VII. Total comprehensive income
|
134,839,370.44
|
92,691,094.44
|
10,863,421.17
|
Total comprehensive income attributable to owners of the parent company
|
134,839,370.44
|
92,691,094.44
|
10,863,421.17
|
Total comprehensive income attributable to minority shareholders
|
-
|
-
|
-
|
VIII. Earnings per share:
|
|||
(I) Basic earnings per share (RMB 1 Yuan/share)
|
0.36
|
-
|
-
|
(II) Diluted earnings per share (RMB 1
|
0.36
|
-
|
-
|
Yuan/share) |
Item
|
2019
|
2018
|
2017
|
I. Cash flows from operating activities
|
|||
Cash received from sales of goods or rendering of services
|
730,630,575.46
|
540,892,514.09
|
179,871,258.38
|
Refunds of taxes
|
51,415,157.90
|
31,616,948.86
|
22,944,850.31
|
Cash received relating to other operating activities
|
32,124,009.88
|
4,266,384.04
|
23,079,888.82
|
Subtotal of cash inflows from operating activities
|
814,169,743.24
|
576,775,846.99
|
225,895,997.51
|
Cash paid for goods and services
|
538,881,062.29
|
400,716,490.93
|
138,752,796.19
|
Cash paid to and on behalf of employees
|
84,379,310.56
|
58,378,437.95
|
35,035,843.57
|
Cash paid for all types of taxes
|
8,575,237.99
|
265,012.03
|
215,864.00
|
Cash paid relating to other operating activities
|
109,627,644.35
|
78,605,561.90
|
60,883,758.26
|
Subtotal of cash outflows from operating activities
|
741,463,255.19
|
537,965,502.81
|
234,888,262.02
|
Net cash flows from operating activities
|
72,706,488.05
|
38,810,344.18
|
-8,992,264.51
|
II. Cash inflows from investing activities
|
|||
Net cash received from disposal of fixed assets, intangible assets and other long-term assets
|
2,350.00
|
8,200.00
|
-
|
Subtotal of cash inflows from investing activities
|
2,350.00
|
8,200.00
|
-
|
Cash paid for acquisition and construction of fixed assets, intangible assets and other long-term assets
|
10,165,874.74
|
14,731,164.00
|
2,052,347.92
|
Cash paid for investment
|
188,852,600.00
|
-
|
-
|
Net cash paid by acquisition of subsidiaries and other business units
|
30,750,000.00
|
-
|
-
|
Cash paid relating to other investment activities
|
34,492,500.00
|
-
|
-
|
Subtotal of cash outflows from investing activities
|
264,260,974.74
|
14,731,164.00
|
2,052,347.92
|
Net cash flows from investing activities
|
-264,258,624.74
|
-14,722,964.00
|
-2,052,347.92
|
III. Cash flows from financing activities
|
|||
Cash received from investment absorption
|
560,661,364.69
|
-
|
-
|
Cash received from borrowings
|
128,873,975.15
|
121,295,620.60
|
72,898,750.23
|
Cash received relating to other financing activities
|
-
|
-
|
39,205,200.00
|
Subtotal of cash inflows from financing activities
|
689,535,339.84
|
121,295,620.60
|
112,103,950.23
|
Cash paid for debt repayment
|
97,735,510.19
|
89,760,000.00
|
72,615,241.78
|
Cash paid for distribution of dividends or profits and for interest expenses
|
7,611,020.80
|
2,640,570.32
|
1,775,707.74
|
Cash paid relating to other financing activities
|
49,994,239.02
|
-
|
-
|
Subtotal of cash outflows from financing activities
|
155,340,770.01
|
92,400,570.32
|
74,390,949.52
|
Net cash flows from financing activities
|
534,194,569.83
|
28,895,050.28
|
37,713,000.71
|
IV. Effect of foreign exchange rate changes on cash and cash equivalents
|
1,558,032.79
|
-2,306,981.52
|
-1,310,938.21
|
V. Net increase in cash and cash equivalents
|
344,200,465.93
|
50,675,448.94
|
25,357,450.07
|
Add: Cash and cash equivalents at beginning of period
|
95,828,639.76
|
45,153,190.82
|
19,795,740.75
|
VI. Cash and cash equivalents at end of period
|
440,029,105.69
|
95,828,639.76
|
45,153,190.82
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Current assets:
|
|||
Cash and bank balances
|
286,781,661.15
|
27,215,160.52
|
41,504,289.26
|
Accounts receivables
|
320,416,930.78
|
195,092,417.32
|
97,704,922.53
|
Prepayments
|
23,159,474.00
|
13,328,626.96
|
3,905,821.92
|
Other receivables
|
11,555,869.32
|
17,064,181.28
|
6,405,194.89
|
Inventory
|
295,107,215.49
|
263,975,720.12
|
135,531,891.91
|
Other current assets
|
192,023,607.72
|
2,944,359.83
|
989,597.80
|
Total current assets
|
1,129,044,758.46
|
519,620,466.03
|
286,041,718.31
|
Non-current assets:
|
|||
Long-term receivables
|
14,841,790.94
|
24,704,508.34
|
696,937.77
|
Long-term equity investments
|
36,719,024.14
|
5,739,752.09
|
5,000,000.00
|
Fixed assets
|
13,651,736.37
|
16,305,494.30
|
14,168,744.79
|
Construction in progress
|
3,702,119.11
|
-
|
-
|
Intangible assets
|
1,997,794.83
|
1,600,080.80
|
693,745.70
|
Long-term deferred expenses
|
8,116,458.98
|
8,669,850.06
|
1,122,116.94
|
deferred tax assets
|
17,951,274.93
|
11,070,257.47
|
12,457,173.66
|
Other non-current assets
|
4,644,711.91
|
4,518,951.92
|
2,122,055.55
|
Total non-current assets
|
101,624,911.21
|
72,608,894.98
|
36,260,774.41
|
Total Assets
|
1,230,669,669.67
|
592,229,361.01
|
322,302,492.72
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Current liabilities:
|
|||
Short-term borrowings
|
96,958,575.62
|
64,835,620.60
|
33,300,000.00
|
Accounts payable
|
115,306,027.30
|
188,130,524.93
|
88,130,207.32
|
Deposit received
|
23,322,451.48
|
32,405,506.20
|
13,403,248.60
|
Employee benefits payable
|
11,559,044.56
|
2,788,215.49
|
649,603.00
|
Taxes payable
|
27,931,334.97
|
8,196,421.68
|
70,040.30
|
Other payables
|
61,196,982.56
|
90,312,457.45
|
59,287,214.68
|
Total current liabilities
|
336,274,416.49
|
386,668,746.35
|
194,840,313.90
|
Non-current liabilities:
|
Long-term payables
|
13,711,646.54
|
20,922,885.14
|
24,529,579.95
|
Estimated liabilities
|
22,053,589.22
|
13,163,850.07
|
3,859,193.72
|
Deferred income
|
28,615,025.37
|
31,339,538.78
|
50,264,734.81
|
Total non-current Liabilities
|
64,380,261.13
|
65,426,273.99
|
78,653,508.48
|
Total liabilities
|
400,654,677.62
|
452,095,020.34
|
273,493,822.38
|
Shareholders’ Equity
|
|||
Share capital / paid in capital
|
390,201,347.00
|
213,124,950.00
|
213,124,950.00
|
Capital reserve
|
365,097,509.99
|
7,222,320.06
|
3,751,014.56
|
Surplus reserve
|
7,471,613.51
|
-
|
-
|
Retained earnings
|
67,244,521.55
|
-80,212,929.39
|
-168,067,294.22
|
Total shareholders’ equity
|
830,014,992.05
|
140,134,340.67
|
48,808,670.34
|
Total liabilities and shareholders’ equity
|
1,230,669,669.67
|
592,229,361.01
|
322,302,492.72
|
Item
|
2019
|
2018
|
2017
|
I. Operating income
|
727,990,275.70
|
538,268,092.74
|
253,587,250.17
|
Less: operating cost
|
415,095,559.79
|
307,096,125.81
|
140,427,291.41
|
Taxes and surcharges
|
647,614.16
|
421,488.03
|
285,904.30
|
Selling expenses
|
59,017,992.96
|
58,120,402.63
|
43,493,320.88
|
Administrative expenses
|
27,274,443.41
|
18,028,288.17
|
13,887,959.04
|
Research and development expenses
|
89,291,097.73
|
75,582,755.94
|
52,172,371.35
|
Financial expenses
|
-3,651,805.80
|
-833,760.93
|
2,410,035.58
|
Including: Interest expenses
|
7,447,466.67
|
5,047,846.77
|
2,082,525.24
|
Interest income
|
1,562,504.19
|
632,774.75
|
57,226.63
|
Add: Other income
|
26,715,646.95
|
20,876,330.34
|
15,965,166.08
|
Investment income (“-” for loss)
|
1,240,299.89
|
-10,247.91
|
-
|
Including: investment income from associated enterprises and joint ventures
|
-20,727.95
|
-10,247.91
|
-
|
Credit impairment losses (“-” for loss)
|
-4,401,517.26
|
-
|
-
|
Asset impairment losses (“-” for loss)
|
-788,808.94
|
-2,390,364.32
|
-2,986,596.54
|
II. Operating profit (“-” for loss)
|
163,080,994.09
|
98,328,511.20
|
13,888,937.15
|
Add: Non-operating income
|
24,971.35
|
836.81
|
217,903.96
|
Less: Non-operating expenses
|
2,030,140.30
|
1,113,405.44
|
712,972.88
|
III. Total profit (“-” for total losses)
|
161,075,825.14
|
97,215,942.57
|
13,393,868.23
|
Less: Income tax expenses
|
20,315,527.09
|
9,361,577.74
|
2,479,984.75
|
IV. Net profit (“-” for net loss)
|
140,760,298.05
|
87,854,364.83
|
10,913,883.48
|
(I) Net profit from continuing operations (“-” for net loss)
|
140,760,298.05
|
87,854,364.83
|
10,913,883.48
|
(II) Net profit from discontinued
|
-
|
-
|
-
|
operations (“-” for net loss) |
V. Other comprehensive income, net of tax
|
|
|
|
(I) Other comprehensive income that cannot be reclassified to profit or loss
|
-
|
-
|
-
|
(II) Other comprehensive income to be reclassified to profit or loss
|
-
|
-
|
-
|
VI. Total comprehensive income
|
140,760,298.05
|
87,854,364.83
|
10,913,883.48
|
VII. Earnings per share:
|
|
|
|
(I) Basic earnings per share (RMB 1 Yuan/share)
|
0.36
|
-
|
-
|
(II) Diluted earnings per share (RMB 1 Yuan/share)
|
0.36
|
-
|
-
|
Item
|
2019
|
2018
|
2017
|
I. Cash flows from operating activities
|
|||
Cash received from sales of goods or rendering of services
|
603,269,257.85
|
461,257,053.63
|
179,707,776.95
|
Refunds of taxes received
|
51,415,157.90
|
31,616,948.86
|
22,944,850.31
|
Cash received relating to other operating activities
|
31,998,311.79
|
4,284,153.45
|
19,868,533.49
|
Subtotal of cash inflows from operating activities
|
686,682,727.54
|
497,158,155.94
|
222,521,160.75
|
Cash paid for goods and services
|
544,449,905.39
|
399,376,146.95
|
138,707,965.72
|
Cash paid to and on behalf of employees
|
74,857,899.56
|
52,924,907.19
|
35,032,161.07
|
Cash paid for all types of taxes
|
8,584,497.05
|
294,768.20
|
215,864.00
|
Cash paid relating to other operating activities
|
104,829,026.11
|
72,855,435.20
|
60,933,091.24
|
Subtotal of cash outflows from operating activities
|
732,721,328.11
|
525,451,257.54
|
234,889,082.03
|
Net cash flows from operating activities
|
-46,038,600.57
|
-28,293,101.60
|
-12,367,921.28
|
II. Cash flows from investing activities
|
|||
Net cash received from disposal of fixed assets, intangible assets and other long-term assets
|
2,350.00
|
8,200.00
|
-
|
Subtotal of cash inflows from investing activities
|
2,350.00
|
8,200.00
|
-
|
Cash paid for acquisition and construction of fixed assets, intangible assets and other long-term assets
|
8,832,529.79
|
14,288,397.74
|
2,052,347.92
|
Cash paid for investment
|
188,852,600.00
|
-
|
-
|
Net cash paid by acquisition of subsidiaries and other business units
|
31,750,000.00
|
-
|
-
|
Cash paid relating to other investing activities
|
-
|
-
|
-
|
Subtotal of cash outflows from investing activities
|
229,435,129.79
|
14,288,397.74
|
2,052,347.92
|
Net cash flows from investing activities
|
-229,432,779.79
|
-14,280,197.74
|
-2,052,347.92
|
III. Cash flows from financing activities
|
|||
Cash received from investment absorption
|
560,661,364.69
|
-
|
-
|
Cash received from borrowings
|
128,873,975.15
|
121,295,620.60
|
72,898,750.23
|
Cash received from other financing activities
|
-
|
-
|
39,205,200.00
|
Subtotal of cash inflows from financing activities
|
689,535,339.84
|
121,295,620.60
|
112,103,950.23
|
Cash paid for debt repayment
|
97,735,510.19
|
89,760,000.00
|
72,615,241.78
|
Cash paid for distribution of dividends or profits and for interest expenses
|
6,624,389.84
|
2,640,570.32
|
1,775,707.74
|
Cash paid relating to other financing activities
|
49,994,239.02
|
-
|
-
|
Subtotal of cash outflows from financing activities
|
154,354,139.05
|
92,400,570.32
|
74,390,949.52
|
Net cash flows from financing activities
|
535,181,200.79
|
28,895,050.28
|
37,713,000.71
|
IV. Effect of foreign exchange rate changes on cash and cash equivalents
|
-143,319.80
|
-610,879.68
|
-1,152,691.04
|
V. Net increase in cash and cash equivalents
|
259,566,500.63
|
-14,289,128.74
|
22,140,040.47
|
Add: Cash and cash equivalents at beginning of period/year
|
27,215,160.52
|
41,504,289.26
|
19,364,248.79
|
VI. Cash and cash equivalents at end of period/year
|
286,781,661.15
|
27,215,160.52
|
41,504,289.26
|
Name of
subsidiary
|
Shareholding
ratio
|
Whether it is included in the scope of consolidated financial statements
|
||
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
||
ACM Wuxi
|
100%
|
Yes
|
Yes
|
Yes
|
Shengwei Shanghai
|
100%
|
Yes
|
Not applicable
|
Not applicable
|
CleanChip HK
|
100%
|
Yes
|
Yes
|
Yes
|
ACMKR
|
100%
|
Yes
|
Yes
|
Yes
|
ACM CA
|
100%
|
Yes
|
Not applicable
|
Not applicable
|
Aging
|
Provision rate for the accounts receivables (%)
|
Within 1 year (including 1 year)
|
1 or 5
|
Including: within 6 months
|
1
|
7-12 months
|
5
|
1-2 years (including 2 years)
|
10
|
2-3 years (including 3 years)
|
20
|
3-4 years (including 4 years)
|
25
|
4-5 years (including 5 years)
|
30
|
More than 5 years
|
100
|
Basis for determining group
|
|
Group by Aging
|
Divide the group based on Aging of receivables as credit risk characteristics
|
Other Group
|
Accounts receivables with very low credit risk, such as accounts receivables, export tax refund of value-added tax receivable, petty cash, deposit and margin, which final customers have paid to related
parties at the end of the period and then related parties have paid to the Company after the period
|
Provision method for receivables with allowances for bad debts in the group with identical credit risk characteristics
|
|
Group by Aging
|
Aging Analysis
|
Other Group
|
According to the actual loss rate of previous years and the current situation,
|
there is generally no allowances for bad debts |
Aging
|
Provision rate for the accounts receivables (%)
|
Provision rate for other receivables (%)
|
Within 1 year (inclusive)
|
1 or 5
|
5
|
Including: within 6 months
|
1
|
5
|
7-12 months
|
5
|
5
|
1-2 years (including 2 years)
|
10
|
10
|
2-3 years (including 3 years)
|
20
|
20
|
3-4 years (including 4 years)
|
25
|
25
|
4-5 years (including 5 years)
|
30
|
30
|
More than 5 years
|
100
|
100
|
Category
|
Depreciation method
|
Depreciation life (year)
|
Residual value rate (%)
|
Annual depreciation rate (%)
|
Machinery equipment
|
Straight-line Method
|
5–10
|
5
|
9.50 ~ 19.00
|
Computer and electronic equipment
|
Straight-line Method
|
3–5
|
5
|
19.00 ~ 31.67
|
office equipment
|
Straight-line Method
|
5
|
5
|
19.00
|
Transportation equipment
|
Straight-line Method
|
4–5
|
5
|
19.00 ~ 23.75
|
Project
|
Expected useful life
|
Amortization method
|
Basis
|
Software
|
2-10 years
|
Straight-line method
|
Expected beneficial life
|
Patented technology
|
10 years
|
Straight-line method
|
Expected beneficial life
|
Name of
Taxpayer
|
Place of
Registration
|
2019
|
2018
|
2017
|
ACMSH
|
Mainland China
|
15%
|
15%
|
15%
|
ACM Wuxi
|
Mainland China
|
25%
|
25%
|
25%
|
CleanChip HK
|
Hong Kong, China
|
16.5%
|
16.5%
|
16.5%
|
ACMKR
|
The Republic of Korea
|
10%
|
10%
|
10%
|
ACM CA
|
U.S.A
|
21%
|
Not applicable
|
Not applicable
|
Shengwei Shanghai
|
Mainland China
|
25%
|
Not applicable
|
Not applicable
|
Item
|
2019
|
2018
|
2017
|
|
Profits or losses on disposal of non-current assets
|
-202.85
|
-1.47
|
-0.33
|
|
Government grants recorded to profit or loss for the period (closely related to the business of the enterprise, except for the government grants according to the unified national standard quota or quantitative)
|
2,666.69
|
2,082.34
|
1,590.97
|
|
Fund occupation fee charged to non-financial enterprises recorded to the current profit or loss
|
53.87
|
44.54
|
-
|
|
The net profit or loss for the period from the beginning of the period to the combination date of subsidiaries from companies under common control
|
-1,054.11
|
394.41
|
0.16
|
Other non-operating income and expenditure other than the above items
|
2.39
|
-109.79
|
-49.23
|
|
Other profit or loss items that meet the definition of non-recurring profits or losses
|
-647.47
|
5.30
|
5.54
|
|
Subtotal
|
818.51
|
2,415.32
|
1,547.12
|
|
Impact on the income tax
|
-377.28
|
-302.34
|
-231.22
|
|
Impact on the minority interests (after tax)
|
-
|
-
|
-
|
|
Net non-recurring profits or losses attributable to shareholders of the parent company
|
441.23
|
2,112.98
|
1,315.90
|
Item
|
2019
|
2018
|
2017
|
Net non-recurring profits or losses attributable to shareholders of the parent company
|
441.23
|
2,112.98
|
1,315.90
|
Net profits attributable to shareholders of the parent company
|
13,488.73
|
9,253.04
|
1,086.06
|
Net profits attributable to shareholders of the parent company
|
13,047.50
|
7,140.06
|
-229.84
|
after deduction of non-recurring profits or losses |
Main financial indicators
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Current ratio (frequency)
|
2.93
|
1.34
|
1.49
|
Quick ratio (frequency)
|
2.18
|
0.71
|
0.79
|
Liabilities to assets (L/A) ratio (parent company)
|
32.56%
|
76.34%
|
84.86%
|
Net assets per share attributable to shareholders of the issuer (RMB 1 Yuan)
|
2.13
|
N/A
|
N/A
|
Main financial indicators
|
2019
|
2018
|
2017
|
Accounts receivables turnover ratio
|
3.80
|
3.91
|
4.29
|
(frequency) | |||
Inventory turnover rate (frequency)
|
1.44
|
1.51
|
1.09
|
EBITDA (RMB 10,000 Yuan)
|
16,654.67
|
11,063.48
|
1,794.26
|
Net profits attributable to shareholders of the issuer (RMB 10,000 Yuan)
|
13,488.73
|
9,253.04
|
1,086.06
|
Net profits attributable to shareholders of the issuer after deduction of non-recurring profits or losses (RMB 10,000 Yuan)
|
13,047.50
|
7,140.06
|
-229.84
|
Times interest earned (frequency)
|
21.54
|
21.37
|
7.41
|
Proportion of R&D investment in operating income
|
13.12%
|
14.43%
|
20.57%
|
Cash flows from operating activities per share (RMB 1 Yuan/share)
|
0.19
|
N/A
|
N/A
|
Net cash flows per share (RMB 1 Yuan/share)
|
0.88
|
N/A
|
N/A
|
Profit in the Reporting Period
|
Reporting Period
|
Weighted average ROE (%)
|
Earnings per share (RMB 1 Yuan/share)
|
|
Basic earnings per share
|
Diluted earnings per share
|
|||
|
2019
|
34.22
|
0.36
|
0.36
|
2018
|
137.72
|
N/A
|
N/A
|
Net profits attributable to common shareholders of the Company
|
2017
|
26.36
|
N/A
|
N/A
|
Net profits attributable to common shareholders after deduction of non-recurring profits or losses
|
2019
|
30.67
|
0.32
|
0.32
|
2018
|
115.54
|
N/A
|
N/A
|
|
2017
|
-5.58
|
N/A
|
N/A
|
Item
|
2019
|
2018
|
2017
|
Operating income
|
75,673.30
|
55,026.91
|
25,358.73
|
Operating profit
|
15,511.67
|
10,389.25
|
1,383.61
|
Total profit
|
15,311.91
|
10,283.17
|
1,334.06
|
Net profit
|
13,488.73
|
9,253.04
|
1,086.06
|
Net profits attributable to shareholders of the parent company
|
13,488.73
|
9,253.04
|
1,086.06
|
Net profits attributable to shareholders of the parent company after deduction of non-recurring profits or losses
|
13,047.50
|
7,140.06
|
-229.84
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
% |
Amount
|
Proportion
%
|
Amount
|
Proportion
% |
|
Main
business
income
|
74,340.81
|
98.24%
|
53,961.17
|
98.06%
|
24,913.81
|
98.25%
|
Other
operating
income
|
1,332.48
|
1.76%
|
1,065.74
|
1.94%
|
444.91
|
1.75%
|
Total
|
75,673.30
|
100.00%
|
55,026.91
|
100.00%
|
25,358.73
|
100.00%
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion %
|
Amount
|
Proportion %
|
Amount
|
Proportion %
|
|
Semiconductor
cleaning equipment
|
62,522.30
|
84.10%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
Including: single wafer
cleaning equipment
|
55,099.52
|
74.12%
|
50,135.96
|
92.91%
|
21,492.48
|
86.27%
|
Wet bench
cleaning equipment
|
4,801.36
|
6.46%
|
-
|
-
|
-
|
-
|
Single wafer wet
bench combined
cleaning equipment
|
2,621.43
|
3.53%
|
-
|
-
|
-
|
-
|
Semiconductor
electroplating
equipment
|
7,857.39
|
10.57%
|
1,191.13
|
2.21%
|
-
|
-
|
Advanced packaging
wet process equipment
|
3,961.12
|
5.33%
|
2,634.07
|
4.88%
|
3,421.33
|
13.73%
|
Total
|
74,340.81
|
100.00%
|
53,961.17
|
100.00%
|
24,913.81
|
100.00%
|
Item
|
2019
|
2018
|
2017
|
|
Sales
volume
|
Quantity (set)
|
4
|
1
|
-
|
Change ratio
|
300.00%
|
-
|
-
|
|
Unit price
|
Average price
(RMB 10’000
Yuan/set)
|
1,964.35
|
1,191.13
|
-
|
Change ratio
|
64.91%
|
|||
Sales
revenue
|
Amount
(RMB 10’000
Yuan)
|
7,857.39
|
1,191.13
|
-
|
Change ratio
|
559.66%
|
-
|
Item
|
2019
|
2018
|
2017
|
|
Sales
volume
|
Quantity (set)
|
7
|
6
|
7
|
Change ratio
|
16.67%
|
-14.29%
|
-
|
|
Unit price
|
Average price (RMB 10’000 Yuan/set)
|
565.87
|
439.01
|
488.76
|
Change ratio
|
28.90%
|
-10.18%
|
-
|
|
Sales
revenue
|
Amount
(RMB 10’000 Yuan)
|
3,961.12
|
2,634.07
|
3,421.33
|
Change ratio
|
50.38%
|
-23.01%
|
-
|
Regions
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Mainland
China
|
71,727.12
|
96.48%
|
50,517.23
|
93.62%
|
24,913.81
|
100.00%
|
Taiwan
|
2,613.69
|
3.52%
|
496.31
|
0.92%
|
-
|
-
|
South Korea
|
-
|
-
|
2,494.67
|
4.62%
|
-
|
-
|
Philippines
|
-
|
-
|
452.97
|
0.84%
|
-
|
-
|
Total
|
74,340.81
|
100.00%
|
53,961.17
|
100.00%
|
24,913.81
|
100.00%
|
Quarters
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
1st quarter
|
9,682.43
|
13.02%
|
3,721.39
|
6.90%
|
11,544.27
|
46.34%
|
2nd quarter
|
19,613.53
|
26.38%
|
14,060.04
|
26.06%
|
2,813.79
|
11.29%
|
3rd quarter
|
27,445.54
|
36.92%
|
11,587.61
|
21.47%
|
3,836.09
|
15.40%
|
4th quarter
|
17,599.30
|
23.67%
|
24,592.12
|
45.57%
|
6,719.66
|
26.97%
|
Total
|
74,340.81
|
100.00%
|
53,961.17
|
100.00%
|
24,913.81
|
100.00%
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Main business
cost
|
41,134.20
|
99.08%
|
30,324.39
|
98.75%
|
13,837.66
|
98.54%
|
Other business
costs
|
381.64
|
0.92%
|
385.22
|
1.25%
|
205.07
|
1.46%
|
Total
|
41,515.84
|
100.00%
|
30,709.61
|
100.00%
|
14,042.73
|
100.00%
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Semiconductor
cleaning equipment
|
34,171.81
|
83.07%
|
27,823.44
|
91.75%
|
11,833.38
|
85.52%
|
Including: single wafer
cleaning equipment
|
29,775.66
|
72.39%
|
27,823.44
|
91.75%
|
11,833.38
|
85.52%
|
Wet bench
cleaning equipment
|
3,050.71
|
7.42%
|
-
|
-
|
-
|
-
|
Single wafer wet bench combined cleaning equipment
|
1,345.44
|
3.27%
|
-
|
-
|
-
|
-
|
Semiconductor
electroplating
equipment
|
4,773.22
|
11.60%
|
992.43
|
3.27%
|
-
|
-
|
Advanced packaging
wet process
equipment
|
2,189.17
|
5.32%
|
1,508.52
|
4.97%
|
2,004.28
|
14.48%
|
Total
|
41,134.20
|
100.00%
|
30,324.39
|
100.00%
|
13,837.66
|
100.00%
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Direct
materials
|
38,418.92
|
93.40%
|
28,595.15
|
94.30%
|
12,748.67
|
92.13%
|
Direct labor
costs
|
905.50
|
2.20%
|
600.52
|
1.98%
|
338.04
|
2.44%
|
Manufacturing
expenses |
1,809.78
|
4.40%
|
1,128.72
|
3.72%
|
750.95
|
5.43%
|
Total
|
41,134.20
|
100.00%
|
30,324.39
|
100.00%
|
13,837.66
|
100.00%
|
Item
|
2019
|
2018
|
2017
|
||||||
Amount
|
contribution level
|
Gross profit margin
|
Amount
|
contribution level
|
Gross profit margin
|
Amount
|
contribution level
|
Gross profit margin
|
|
Main business
|
33,206.61
|
97.22%
|
44.67%
|
23,636.78
|
97.20%
|
43.80%
|
11,076.15
|
97.88%
|
44.46%
|
Other business
|
950.85
|
2.78%
|
71.36%
|
680.52
|
2.80%
|
63.85%
|
239.84
|
2.12%
|
53.91%
|
Total
|
34,157.46
|
100.00%
|
45.14%
|
24,317.29
|
100.00%
|
44.19%
|
11,316.00
|
100.00%
|
44.62%
|
Item
|
2019
|
2018
|
2017
|
||||||
Gross profit amount
|
Gross Profit Margin
|
Proportion of income
|
Gross profit amount
|
Gross Profit Margin
|
Proportion of income
|
Gross profit amount
|
Gross Profit Margin
|
Proportion of income
|
|
Semiconductor cleaning equipment
|
28,350.49
|
45.34%
|
84.10%
|
22,312.53
|
44.50%
|
92.91%
|
9,659.11
|
44.94%
|
86.27%
|
Including: single
wafer cleaning
equipment
|
25,323.86
|
45.96%
|
74.12%
|
22,312.53
|
44.50%
|
92.91%
|
9,659.11
|
44.94%
|
86.27%
|
Wet
bench cleaning
equipment
|
1,750.64
|
36.46%
|
6.46%
|
-
|
-
|
-
|
-
|
-
|
-
|
Single
wafer wet bench
|
1,275.99
|
48.68%
|
3.53%
|
-
|
-
|
-
|
-
|
-
|
-
|
combined
cleaning
equipment
|
|||||||||
Semiconductor
electroplating
equipment
|
3,084.18
|
39.25%
|
10.57%
|
198.70
|
16.68%
|
2.21%
|
-
|
-
|
-
|
Advanced
packaging wet
process
equipment
|
1,771.95
|
44.73%
|
5.33%
|
1,125.55
|
42.73%
|
4.88%
|
1,417.05
|
41.42%
|
13.73%
|
Total
|
33,206.61
|
44.67%
|
100.00%
|
23,636.78
|
43.80%
|
100.00%
|
11,076.15
|
44.46%
|
100.00%
|
Item
|
2019
|
2018
|
2017
|
Amount
(RMB
10,000
Yuan)
|
Proportion
in operating
income
|
Amount
(RMB
10,000
Yuan)
|
Proportion
in
operating
income
|
Amount
(RMB
10,000
Yuan)
|
Proportion
in operating
income
|
|
Selling expenses
|
8,475.49
|
11.20%
|
6,004.69
|
10.91%
|
4,349.33
|
17.15%
|
Administrative expenses
|
3,029.73
|
4.00%
|
2,040.41
|
3.71%
|
1,394.28
|
5.50%
|
Financial expenses
|
-357.79
|
-0.47%
|
-251.46
|
-0.46%
|
240.80
|
0.95%
|
R&D expenses
|
9,926.80
|
13.12%
|
7,941.50
|
14.43%
|
5,217.24
|
20.57%
|
Total
|
21,074.23
|
27.85%
|
15,735.13
|
28.60%
|
11,201.65
|
44.17%
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion%
|
Amount
|
Proportion%
|
Amount
|
Proportion%
|
|
Sales
|
2,761.73
|
32.58%
|
1,931.14
|
32.16%
|
1,249.99
|
28.74%
|
commission | ||||||
Employee
benefits
|
1,945.09
|
22.95%
|
1,036.01
|
17.25%
|
594.38
|
13.67%
|
After sales
service fee
|
1,360.12
|
16.05%
|
1,174.57
|
19.56%
|
1,337.49
|
30.75%
|
Installation
and service fee
|
820.50
|
9.68%
|
665.92
|
11.09%
|
322.82
|
7.42%
|
Travel
expenses
|
436.99
|
5.16%
|
316.84
|
5.28%
|
123.17
|
2.83%
|
Logistics and
package fee
|
380.01
|
4.48%
|
276.08
|
4.60%
|
317.63
|
7.30%
|
Share-based
payment
|
219.09
|
2.58%
|
77.79
|
1.30%
|
34.10
|
0.78%
|
Business
entertainment
|
204.99
|
2.42%
|
238.93
|
3.98%
|
108.78
|
2.50%
|
Exhibition
advertising fee
|
112.78
|
1.33%
|
84.95
|
1.41%
|
49.33
|
1.13%
|
Office
expenses
|
11.02
|
0.13%
|
42.38
|
0.71%
|
13.22
|
0.30%
|
Rental fee
|
96.91
|
1.14%
|
58.72
|
0.98%
|
95.87
|
2.20%
|
Depreciation
charge
|
5.04
|
0.06%
|
3.16
|
0.05%
|
1.52
|
0.03%
|
Other
|
121.23
|
1.43%
|
98.18
|
1.64%
|
101.03
|
2.32%
|
Total
|
8,475.49
|
100.00%
|
6,004.69
|
100.00%
|
4,349.33
|
100.00%
|
Company
name
|
2019
|
2018
|
2017
|
|||
Operating
income
|
Sales
expense
rate
|
Operating
income
|
Sales
expense rate
|
Operating
income
|
Sales
expense
rate
|
|
NAURA
|
405,831.29
|
5.87%
|
332,385.10
|
5.08%
|
222,281.85
|
5.63%
|
AMEC
|
194,694.93
|
10.12%
|
163,928.83
|
13.21%
|
97,192.06
|
16.66%
|
KINGSEMI
|
21,315.67
|
9.67%
|
20,999.05
|
8.24%
|
18,988.50
|
10.56%
|
HZCCTECH
|
39,883.41
|
13.59%
|
21,612.15
|
14.40%
|
17,979.45
|
10.25%
|
Average
value
|
165,431.32
|
9.81%
|
134,731.28
|
10.23%
|
89,110.46
|
10.77%
|
The
Company
|
75,673.30
|
11.20%
|
55,026.91
|
11.24%
|
25,358.73
|
17.15%
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Employee benefits
|
1,219.20
|
40.24%
|
840.52
|
41.19%
|
485.21
|
34.80%
|
Intermediary fee
|
374.17
|
12.35%
|
189.65
|
9.29%
|
29.19
|
2.09%
|
Consulting service fee
|
371.33
|
12.26%
|
213.08
|
10.44%
|
140.01
|
10.04%
|
Rental fee
|
294.72
|
9.73%
|
301.11
|
14.76%
|
261.83
|
18.78%
|
Share-based payment
|
248.18
|
8.19%
|
106.27
|
5.21%
|
94.45
|
6.77%
|
Business entertainment
|
121.17
|
4.00%
|
59.16
|
2.90%
|
87.30
|
6.26%
|
Travel expenses
|
127.28
|
4.20%
|
126.15
|
6.18%
|
112.69
|
8.08%
|
Office expenses
|
91.07
|
3.01%
|
81.55
|
4.00%
|
77.65
|
5.57%
|
Depreciation and amortization
|
24.24
|
0.80%
|
20.71
|
1.02%
|
21.32
|
1.53%
|
Other
|
158.37
|
5.23%
|
102.21
|
5.01%
|
84.64
|
6.07%
|
Total
|
3,029.73
|
100.00%
|
2,040.41
|
100.00%
|
1,394.28
|
100.00%
|
Category
|
2019
|
2018
|
2017
|
Sales expenses
|
219.09
|
77.79
|
34.10
|
Administrative expenses
|
248.18
|
106.27
|
94.45
|
R&D expenses
|
752.70
|
168.81
|
33.44
|
Operation cost
|
172.28
|
46.90
|
10.48
|
Total
|
1,392.25
|
399.78
|
172.47
|
Company name
|
2019
|
2018
|
2017
|
NAURA
|
13.75%
|
15.14%
|
19.73%
|
AMEC
|
5.59%
|
7.96%
|
8.75%
|
KINGSEMI
|
15.96%
|
13.61%
|
14.18%
|
HZCCTECH
|
14.06%
|
9.43%
|
9.18%
|
Average value
|
12.34%
|
11.54%
|
12.96%
|
The Company
|
4.00%
|
3.71%
|
5.50%
|
Item
|
2019
|
2018
|
2017
|
|||
Amount
|
Proportion %
|
Amount
|
Proportion %
|
Amount
|
Proportion %
|
|
Employee
benefits
|
4,731.41
|
47.66%
|
3,020.84
|
38.04%
|
1,633.14
|
31.30%
|
Material consumption
|
2,664.11
|
26.84%
|
2,007.19
|
25.27%
|
2,063.33
|
39.55%
|
Share-based
|
752.70
|
7.58%
|
168.81
|
2.13%
|
33.44
|
0.64%
|
payment | ||||||
Travel
expenses
|
582.91
|
5.87%
|
420.73
|
5.30%
|
289.27
|
5.54%
|
Depreciation
and
amortization
|
267.96
|
2.70%
|
216.89
|
2.73%
|
201.08
|
3.85%
|
Rental
expenses
|
236.03
|
2.38%
|
224.50
|
2.83%
|
149.53
|
2.87%
|
Service
charge
|
215.74
|
2.17%
|
106.33
|
1.34%
|
112.04
|
2.15%
|
Test and
development
expenses
|
50.93
|
0.51%
|
1,566.36
|
19.72%
|
435.24
|
8.34%
|
other
expenses
|
425.01
|
4.28%
|
209.84
|
2.64%
|
300.17
|
5.75%
|
Total
|
9,926.80
|
100.00%
|
7,941.50
|
100.00%
|
5,217.24
|
100.00%
|
Projects
|
2019
|
2018
|
2017
|
SAPS cleaning technology
|
4,215.52
|
3,482.72
|
1,509.02
|
ECP electrochemistry electroplating technology
|
2,144.10
|
2,187.17
|
2,526.12
|
WET bench cleaning technology
|
927.15
|
208.06
|
-
|
Backside cleaning technology
|
688.98
|
325.06
|
76.50
|
Tahoe technology
|
449.17
|
253.52
|
4.61
|
Furnace vertical furnace tube technology
|
422.41
|
201.38
|
-
|
Backend Tools advanced packaging wet process technology
|
395.58
|
647.67
|
443.25
|
SFP stress-free polishing technology
|
265.23
|
270.08
|
561.36
|
TEBO cleaning technology
|
259.69
|
329.10
|
96.39
|
Development and industrialization of polytetrafluoroethylene cavity manufacturing process for semiconductor equipment
|
28.13
|
13.94
|
-
|
Other
|
130.83
|
22.79
|
-
|
Total
|
9,926.80
|
7,941.50
|
5,217.24
|
Company name
|
2019
|
2018
|
2017
|
NAURA
|
12.93%
|
10.57%
|
16.05%
|
AMEC
|
12.00%
|
7.21%
|
5.84%
|
KINGSEMI
|
16.45%
|
16.29%
|
10.41%
|
HZCCTECH
|
26.82%
|
28.55%
|
20.51%
|
Average value
|
17.05%
|
15.66%
|
13.20%
|
The Company
|
13.12%
|
14.43%
|
20.57%
|
Item
|
2019
|
2018
|
2017
|
Interest expenses
|
745.61
|
504.78
|
208.25
|
Less: interest income
|
204.64
|
63.84
|
5.85
|
Exchange gains/losses
|
-924.65
|
-716.95
|
26.60
|
Bank charges
|
25.89
|
24.54
|
11.79
|
Total
|
-357.79
|
-251.46
|
240.80
|
Item
|
2019
|
2018
|
2017
|
Government grants
|
2,666.69
|
2,082.34
|
1,590.97
|
Commission for
withholding individual
income tax
|
4.88
|
5.30
|
5.54
|
Total
|
2,671.56
|
2,087.63
|
1,596.52
|
Grant project
|
2019
|
2018
|
2017
|
Asset related
/income
related
|
65-45nm Copper Interconnection Stress Free Polishing Equipment R&D
|
138.70
|
199.83
|
124.43
|
Comprehensive subsidy
|
20-14nm Copper Interconnection Copper Plating Equipment R&D and Application
|
2,088.34
|
1,802.77
|
1,313.97
|
Comprehensive subsidy
|
Patent Pilot Funding
|
31.36
|
12.14
|
12.51
|
Income related
|
R&D and Industrialization of Polytetrafluoroethylene Cavity Manufacturing Process for Semiconductor Equipment
|
28.13
|
13.94
|
-
|
Income related
|
2019 Technology Giant Subsidy
|
300.00
|
-
|
-
|
Income related
|
2019 Shanghai Patent Funding
|
45.77
|
-
|
-
|
Income related
|
2019 Zhangjiang Science City Intellectual Property Support Fund
|
20.00
|
-
|
-
|
Income related
|
Grants for Chinese patent applications and licensing fees in Pudong New Area in 2019
|
14.40
|
-
|
-
|
Income related
|
2018 Shanghai Patent Grant
|
-
|
18.89
|
-
|
Income related
|
Grants for Chinese patent applications and licensing fees in Pudong New Area in 2018
|
-
|
4.40
|
-
|
Income related
|
2018 Stability Grants
|
-
|
8.17
|
-
|
Income related
|
2017 Shanghai Patent Funding
|
-
|
-
|
44.71
|
Income related
|
Grants for Chinese patent applications and licensing fees in Pudong New Area in 2017
|
-
|
-
|
3.60
|
Income related
|
Science and Technology Development Fund Key Enterprise R&D Institution Grants
|
-
|
-
|
80.00
|
Income related
|
Other
|
-
|
22.20
|
11.75
|
Income related
|
Total
|
2,666.69
|
2,082.34
|
1,590.97
|
Project
|
2019
|
2018
|
2017
|
Long-term equity investment income
|
-2.07
|
-1.02
|
-
|
accounted by equity method | |||
Investment income of wealth management products
|
126.10
|
-
|
-
|
Total
|
124.03
|
-1.02
|
-
|
Item
|
Category
|
2019
|
2018
|
2017
|
Credit impairment loss
|
Bad debts loss of accounts receivables
|
-221.58
|
-
|
-
|
Bad debts loss of other receivables
|
-1.93
|
-
|
-
|
|
Assets impairment loss
|
Bad debts losses
|
-
|
-267.47
|
-277.03
|
Losses from decline in value of inventories
|
-78.88
|
30.10
|
-21.63
|
|
Total
|
-302.39
|
-237.37
|
-298.66
|
Item
|
2019
|
2018
|
2017
|
Non-recurring losses
|
-
|
108.36
|
-
|
Losses from damage and retirement of non-current assets
|
202.85
|
1.47
|
0.33
|
Penalties and overdue fines
|
0.11
|
1.52
|
66.38
|
Others
|
0.17
|
0.18
|
4.64
|
Total
|
203.13
|
111.52
|
71.35
|
Item
|
2019
|
2018
|
2017
|
Current income tax expenses
|
2,722.98
|
893.05
|
-
|
Deferred income tax expenses
|
-899.81
|
137.08
|
248.00
|
Total
|
1,823.17
|
1,030.13
|
248.00
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Current assets
|
120,865.05
|
92.40%
|
56,783.25
|
89.28%
|
28,965.87
|
90.26%
|
Non-current assets
|
9,935.10
|
7.60%
|
6,819.00
|
10.72%
|
3,126.11
|
9.74%
|
Total Assets
|
130,800.15
|
100.00%
|
63,602.25
|
100.00%
|
32,091.98
|
100.00%
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Amount
|
Proportion %
|
Amount
|
Proportion %
|
Amount
|
Proportion %
|
|
Cash and
bank
balances
|
44,002.91
|
36.41%
|
9,582.86
|
16.88%
|
4,515.32
|
15.59%
|
Accounts
receivables
|
20,989.64
|
17.37%
|
17,360.55
|
30.57%
|
9,770.49
|
33.73%
|
Prepayments
|
1,124.46
|
0.93%
|
1,336.05
|
2.35%
|
390.58
|
1.35%
|
Other
receivables
|
4,763.85
|
3.94%
|
1,716.08
|
3.02%
|
637.33
|
2.20%
|
Inventory
|
30,727.41
|
25.42%
|
26,415.99
|
46.52%
|
13,553.19
|
46.79%
|
Other
current
assets
|
19,256.78
|
15.93%
|
371.71
|
0.65%
|
98.96
|
0.34%
|
Total
current
assets
|
120,865.05
|
100.00%
|
56,783.25
|
100.00%
|
28,965.87
|
100.00%
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Cash on hand
|
3.05
|
4.70
|
2.10
|
Bank deposit
|
43,999.86
|
9,578.16
|
4,513.22
|
Other cash and bank balances
|
-
|
-
|
-
|
Total
|
44,002.91
|
9,582.86
|
4,515.32
|
Including: total amount
deposited overseas
|
15,259.82
|
6,832.42
|
327.18
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Amounts deposited overseas and restricted in repatriation
|
83.21
|
23.47
|
|
Voluntary committed restricted funds
|
22,817.00
|
-
|
|
Total
|
22,900.21
|
23.47
|
Financing
|
Currency
|
Amount
|
Use and deposit
|
Currency
|
Amount
|
The fifth capital increase of ACMSH (before restructuring)
|
RMB
|
16,792.40
|
Other current assets
|
RMB
|
16,792.40
|
USD
|
283.73
|
USD
|
283.73
|
||
The first capital increase of ACMSH
|
RMB
|
22,817.00
|
Cash and bank balances
|
RMB
|
22,817.00
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Balance of accounts
receivables
|
21,845.46
|
17,987.45
|
10,136.80
|
Less: allowances for
bad debts
|
855.82
|
626.89
|
366.31
|
Net accounts
receivables
|
20,989.64
|
17,360.55
|
9,770.49
|
Item
|
December 31,
2019
|
December 31,
2018 |
December 31,
2017 |
Balance of accounts
receivables
|
21,845.46
|
17,987.45
|
10,136.80
|
Growth rate of balance of
accounts receivables at the
|
21.45%
|
77.45%
|
-
|
end of the period | |||
Growth rate of operating
income
|
37.52%
|
116.99%
|
-
|
aging
|
December 31, 2019
|
December 31,
2018
|
December 31,
2017
|
Within 1year (inclusive)
|
16,651.68
|
15,203.01
|
9,436.40
|
Including: within 6 months
|
12,612.86
|
10,556.63
|
4,876.18
|
within 7-12 months
|
4,038.82
|
4,646.39
|
4,560.22
|
1-2 years (including 2 years)
|
5,110.07
|
2,698.68
|
570.44
|
2-3 years (including 3 years)
|
83.71
|
45.98
|
-
|
3-4 years (including 4 years)
|
-
|
39.77
|
129.97
|
4-5 years (including 5 years)
|
-
|
-
|
-
|
Over 5 years
|
-
|
-
|
-
|
Subtotal
|
21,845.46
|
17,987.45
|
10,136.80
|
Less: allowances for bad debts
|
855.82
|
626.89
|
366.31
|
Total
|
20,989.64
|
17,360.55
|
9,770.49
|
Name
|
December 31, 2019
|
||
Accounts receivables
|
Allowances for bad debts
|
Proportion (%)
|
|
Within 6 months
|
12,612.86
|
126.13
|
1.00
|
Within 7-12 months
|
4,038.82
|
201.94
|
5.00
|
1-2 years (including 2 years)
|
5,110.07
|
511.01
|
10.00
|
2-3 years (including 3 years)
|
83.71
|
16.74
|
20.00
|
3-4 years (including 4 years)
|
-
|
-
|
25.00
|
4-5 years (including 5 years)
|
-
|
-
|
30.00
|
Over 5 years
|
-
|
-
|
100.00
|
Total
|
21,845.46
|
855.82
|
-
|
aging
|
December 31, 2018
|
December 31, 2017
|
||||
Accounts
receivables
|
Allowances
for bad
debts
|
Proportion
(%)
|
Accounts
receivables
|
Allowances
for bad
debts
|
Proportion
(%)
|
|
Within 1year (inclusive)
|
15,203.01
|
337.89
|
2.22
|
9,436.40
|
276.77
|
2.93
|
Including: within 6 months
|
10,556.63
|
105.57
|
1.00
|
4,876.18
|
48.76
|
1.00
|
within 7-12 months
|
4,646.39
|
232.32
|
5.00
|
4,560.22
|
228.01
|
5.00
|
1-2 years (including 2 years)
|
2,698.68
|
269.87
|
10.00
|
570.44
|
57.04
|
10.00
|
2-3 years (including 3 years)
|
45.98
|
9.20
|
20.00
|
-
|
-
|
-
|
3-4 years (including 4 years)
|
39.77
|
9.94
|
25.00
|
129.97
|
32.49
|
25.00
|
4-5 years (including 5 years)
|
-
|
-
|
-
|
-
|
-
|
-
|
Over 5 years
|
-
|
-
|
-
|
-
|
-
|
-
|
Total
|
17,987.45
|
626.89
|
-
|
10,136.80
|
366.31
|
-
|
Customer name
|
Closing balance of accounts
receivables
|
Proportion in accounts
receivables
|
Huahong Group
|
11,825.01
|
54.13
|
Chater Base International
|
3,676.46
|
16.83
|
Yangtze Memory
|
2,328.52
|
10.66
|
JCET
|
1,512.24
|
6.92
|
Taiwan Phoenix Silicon
|
908.38
|
4.16
|
Total
|
20,250.60
|
92.70
|
Customer name
|
Closing balance of accounts
receivables
|
Proportion in accounts
receivables
|
Huahong Group
|
5,475.96
|
30.44
|
Chater Base
International
|
4,251.72
|
23.64
|
ACMR
|
3,257.34
|
18.11
|
Hynix
|
3,098.92
|
17.23
|
Yangtze Memory
|
1,317.03
|
7.32
|
Total
|
17,400.96
|
96.74
|
aging
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Within 1 year (inclusive)
|
1,124.46
|
100%
|
1,336.05
|
100%
|
390.58
|
100%
|
1-2 years (including 2 years)
|
-
|
-
|
-
|
-
|
-
|
2-3 years (including 3 years)
|
-
|
-
|
-
|
-
|
-
|
|
Over 3 years
|
-
|
-
|
-
|
-
|
-
|
|
Total
|
1,124.46
|
100%
|
1,336.05
|
100%
|
390.58
|
100%
|
Item
|
2019
|
2018
|
2017
|
Balance of other receivables
|
4,772.91
|
1,723.22
|
642.22
|
Less: allowances for bad debts
|
9.06
|
7.14
|
4.89
|
Net amount of other receivables
|
4,763.85
|
1,716.08
|
637.33
|
Item
|
2019
|
2018
|
2017
|
Related party
accounts
|
3,696.06
|
143.53
|
135.32
|
Export tax refund
receivable
|
613.58
|
1,288.70
|
306.22
|
Deposit
|
148.05
|
180.30
|
134.75
|
Intermediary
prepayment fee
|
125.00
|
-
|
-
|
Staff reserve
|
78.05
|
30.14
|
23.74
|
Other
|
112.17
|
80.55
|
42.18
|
Total
|
4,772.91
|
1,723.22
|
642.22
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Book
Value
|
Proportion %
|
Book
Value
|
Proportion %
|
Book
Value
|
Proportion %
|
|
Raw
material
|
9,139.20
|
29.74%
|
7,873.60
|
29.81%
|
3,328.05
|
24.56%
|
Work in
process
|
7,524.25
|
24.49%
|
6,067.57
|
22.97%
|
2,517.43
|
18.57%
|
Finished
goods
|
301.50
|
0.98%
|
-
|
0.00%
|
-
|
0.00%
|
Delivered
goods
|
13,762.46
|
44.79%
|
12,474.82
|
47.22%
|
7,707.71
|
56.87%
|
Total
|
30,727.41
|
100.00%
|
26,415.99
|
100.00%
|
13,553.19
|
100.00%
|
Item
|
December 31, 2019
|
||
Carrying amount
|
Provision for decline
in value of inventories
|
Book value
|
|
Raw material
|
9,469.01
|
329.82
|
9,139.20
|
Work in process
|
7,524.25
|
-
|
7,524.25
|
Finished goods
|
362.27
|
60.76
|
301.50
|
Delivered goods
|
13,762.46
|
-
|
13,762.46
|
Total
|
31,117.99
|
390.58
|
30,727.41
|
Item
|
December 31, 2018
|
||
Carrying amount
|
Provision for decline
in value of inventories
|
Book value
|
|
Raw material
|
8,185.30
|
311.70
|
7,873.60
|
Work in process
|
6,067.57
|
-
|
6,067.57
|
Finished goods
|
-
|
-
|
-
|
Delivered goods
|
12,474.82
|
-
|
12,474.82
|
Total
|
26,727.69
|
311.70
|
26,415.99
|
Item
|
December 31, 2017
|
||
Carrying amount
|
Provision for decline
in value of inventories
|
Book value
|
|
Raw material
|
3,669.86
|
341.80
|
3,328.05
|
Work in process
|
2,517.43
|
-
|
2,517.43
|
Finished goods
|
-
|
-
|
-
|
Delivered goods
|
7,707.71
|
-
|
7,707.71
|
Total
|
13,894.99
|
341.80
|
13,553.19
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Fixed deposit
|
19,011.36
|
-
|
-
|
Input tax to be
deducted
|
159.40
|
274.71
|
42.30
|
Input tax to be
certified
|
60.12
|
77.61
|
29.94
|
Prepaid expenses
|
25.90
|
19.39
|
26.71
|
Total
|
19,256.78
|
371.71
|
98.96
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Amount
|
Proportion %
|
Amount
|
Proportion %
|
Amount
|
Proportion %
|
|
Long-term receivables
|
1,484.18
|
14.94%
|
2,470.45
|
36.23%
|
69.69
|
2.23%
|
Long term
equity
investment
|
3,071.90
|
30.92%
|
73.98
|
1.08%
|
-
|
-
|
Fixed assets
|
1,396.30
|
14.05%
|
1,638.48
|
24.03%
|
1,416.90
|
45.32%
|
Construction
in progress
|
370.21
|
3.73%
|
-
|
-
|
-
|
-
|
Intangible
assets
|
240.08
|
2.42%
|
188.19
|
2.76%
|
69.37
|
2.22%
|
Long-term
deferred expenses
|
829.53
|
8.35%
|
868.99
|
12.74%
|
112.21
|
3.59%
|
Deferred tax
assets
|
2,012.08
|
20.25%
|
1,108.64
|
16.26%
|
1,245.72
|
39.85%
|
Other non-current assets
|
530.82
|
5.34%
|
470.27
|
6.90%
|
212.21
|
6.79%
|
Total non-current assets
|
9,935.10
|
100.00%
|
6,819.00
|
100.00%
|
3,126.11
|
100.00%
|
Item
|
December 31,
2019
|
December 31, 2018
|
December 31, 2017
|
Shengxin Shanghai
|
1,371.16
|
2,092.29
|
-
|
Product sales receivables
|
113.01
|
378.16
|
69.69
|
Total
|
1,484.18
|
2,470.45
|
69.69
|
Item
|
December 31,
2019
|
December 31, 2018
|
December 31, 2017
|
Shixi Chanheng
|
2,993.19
|
-
|
-
|
Shengyi Semiconductor
|
78.72
|
73.98
|
-
|
Total
|
3,071.90
|
73.98
|
-
|
Company name
|
Duration of investment
|
Investment amount
(10,000 Yuan)
|
Shareholding ratio
|
Change in value during the Reporting Period (10,000 Yuan)
|
Shixi
Chanheng
|
Long term
|
3,000.00
|
10.00%
|
-6.81
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Book
value
|
Proportion %
|
Book
value
|
Proportion %
|
Book value
|
Proportion %
|
|
Machinery
equipment
|
1,196.90
|
85.72%
|
1,488.79
|
90.86%
|
1,314.39
|
92.76%
|
Computer and
electronic
equipment
|
148.48
|
10.63%
|
83.96
|
5.12%
|
53.99
|
3.81%
|
Office
equipment
|
19.38
|
1.39%
|
21.08
|
1.29%
|
16.72
|
1.18%
|
Transportation
|
31.55
|
2.26%
|
44.66
|
2.73%
|
31.81
|
2.24%
|
Total
|
1,396.30
|
100.00%
|
1,638.48
|
100.00%
|
1,416.90
|
100.00%
|
Year of
fixed assets
transfer
|
Project name
|
Amount
(10,000 Yuan)
|
Basis for transferring
to fixed assets
|
2017
|
Equipment to be installed - wafer surface particle scanning equipment
|
300.53
|
Fixed assets acceptance form
|
Item
|
December 31, 2019
|
December 31,
2018
|
December 31, 2017
|
I. Original carrying amount
|
|||
Office equipment
|
71.43
|
67.06
|
57.46
|
Computer and electronic equipment
|
312.83
|
223.35
|
181.99
|
Transportation
|
86.70
|
126.12
|
132.73
|
Machinery equipment
|
2,787.16
|
6,688.51
|
6,353.61
|
II. Accumulated depreciation
|
|||
Office equipment
|
52.06
|
45.98
|
40.74
|
Computer and electronic equipment
|
164.35
|
139.39
|
127.99
|
Transportation
|
55.15
|
81.46
|
100.92
|
Machinery equipment
|
1,590.26
|
5,199.72
|
5,039.22
|
III. Book value
|
|||
Office equipment
|
19.38
|
21.08
|
16.72
|
Computer and electronic equipment
|
148.48
|
83.96
|
53.99
|
Transportation
|
31.55
|
44.66
|
31.81
|
Machinery equipment
|
1,196.90
|
1,488.79
|
1,314.39
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Construction in progress
|
370.21
|
-
|
-
|
Total
|
370.21
|
-
|
-
|
Item
|
Balance at
the
beginning
of the year
|
Current
year
increase
|
Amount of
fixed assets
transferred
in current
year
|
Other
decrease
|
Year-end
balance
|
Clean room reconstruction project of Zhangjiang plant
|
-
|
360.54
|
-
|
-
|
360.54
|
Total
|
-
|
360.54
|
-
|
-
|
360.54
|
Item
|
Balance at
the
beginning of
the year
|
Current
year
increase
|
Amount of
fixed assets
transferred
in current
year
|
Other
decrease
|
Year-end
balance
|
Equipment to be installed - wafer surface particle scanning equipment
|
300.53
|
-
|
300.53
|
-
|
-
|
Total
|
300.53
|
-
|
300.53
|
-
|
-
|
Item
|
December 31,
2019
|
December 31,
2018
|
December 31,
2017
|
I. Original carrying amount of intangible assets
|
8,770.73
|
8,662.39
|
8,499.03
|
Software use right
|
216.37
|
108.04
|
47.87
|
Patent right
|
8,554.35
|
8,554.35
|
8,451.17
|
II. Accumulated amortization
|
8,530.65
|
8,474.20
|
8,429.66
|
Software use right
|
96.94
|
55.93
|
29.66
|
Patent right
|
8,433.70
|
8,418.27
|
8,400.00
|
III. Provision for impairment of intangible assets
|
-
|
-
|
-
|
IV. Book value of intangible assets
|
240.08
|
188.19
|
69.37
|
Software use right
|
119.43
|
52.11
|
18.21
|
Patent right
|
120.65
|
136.08
|
51.17
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Deductible
temporary
differences
|
Deferred
tax assets
|
Deductible
temporary
differences
|
Deferred
tax assets
|
Deductible
temporary
differences
|
Deferred
tax assets
|
|
Provision for impairment of assets
|
1,268.02
|
197.15
|
965.63
|
146.22
|
724.96
|
108.74
|
Deductible loss
|
1,370.64
|
242.25
|
-
|
-
|
641.16
|
96.16
|
Accrued expenses
|
2,603.41
|
390.51
|
2,533.54
|
380.03
|
1,429.14
|
214.37
|
Difference between revenue recognition accounting and
|
8,085.85
|
1,212.88
|
4,110.75
|
616.61
|
5,509.60
|
826.44
|
tax law | ||||||
Total
|
13,327.92
|
2,042.80
|
7,609.93
|
1,142.86
|
8,304.86
|
1,245.72
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Taxable
temporary
difference
|
Deferred
Tax
Liability
|
Taxable
temporary
difference
|
Deferred
Tax
Liability
|
Taxable
temporary
difference
|
Deferred
Tax
Liability
|
|
Differences between fixed assets accounting and tax law
|
204.77
|
30.72
|
228.11
|
34.22
|
-
|
-
|
Total
|
204.77
|
30.72
|
228.11
|
34.22
|
-
|
-
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Offset
amount of
deferred
income tax
assets and
|
Balance of
deferred
income tax
assets or
liabilities
|
Offset
amount of
deferred
income tax
assets and
|
Balance of
deferred
income tax
assets or
liabilities
|
Offset
amount of
deferred
income tax
assets and
|
Balance of
deferred
income tax
assets or
liabilities
|
liabilities |
after offset
|
liabilities
|
after offset
|
liabilities |
after offset
|
|
Deferred income tax assets
|
30.72
|
2,012.08
|
34.22
|
1,108.64
|
-
|
1,245.72
|
Deferred income tax liabilities
|
30.72
|
-
|
34.22
|
-
|
-
|
-
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Patent application fee
|
162.94
|
156.62
|
104.53
|
Advance project payment
|
90.00
|
18.83
|
-
|
Advance equipment payment
|
27.69
|
92.62
|
-
|
Lease deposit
|
250.19
|
202.21
|
107.68
|
Total
|
530.82
|
470.27
|
212.21
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Amount
|
Proportion %
|
Amount
|
Proportion %
|
Amount
|
Proportion %
|
|
Current liabilities
|
41,257.79
|
86.30%
|
42,533.61
|
86.63%
|
19,390.77
|
71.14%
|
Non-current liabilities
|
6,549.46
|
13.70%
|
6,563.89
|
13.37%
|
7,865.35
|
28.86%
|
Total liabilities
|
47,807.25
|
100.00%
|
49,097.50
|
100.00%
|
27,256.12
|
100.00%
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Amount
|
Proportion %
|
Amount
|
Proportion %
|
Amount
|
Proportion %
|
|
Short-term borrowings
|
9,695.86
|
23.50%
|
6,483.56
|
15.24%
|
3,330.00
|
17.17%
|
Accounts payable
|
14,317.44
|
34.70%
|
18,821.12
|
44.25%
|
8,813.02
|
45.45%
|
Deposit received
|
6,802.21
|
16.49%
|
6,825.86
|
16.05%
|
860.32
|
4.44%
|
Employee benefits payable
|
1,347.89
|
3.27%
|
341.62
|
0.80%
|
64.96
|
0.34%
|
Taxes payable
|
2,903.82
|
7.04%
|
924.17
|
2.17%
|
7.00
|
0.04%
|
Other payables
|
6,190.57
|
15.00%
|
9,137.28
|
21.48%
|
6,315.46
|
32.57%
|
Total Current Liabilities
|
41,257.79
|
100.00%
|
42,533.61
|
100.00%
|
19,390.77
|
100.00%
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Credit loan
|
2,000.00
|
-
|
-
|
Guaranteed loan
|
6,597.41
|
2,483.56
|
1,380.00
|
Pledged loan
|
-
|
-
|
-
|
Borrowing (Guarantee + pledge)
|
1,000.00
|
4,000.00
|
500.00
|
Accrued interest
|
98.45
|
-
|
-
|
Total
|
9,695.86
|
6,483.56
|
3,330.00
|
Item
|
December 31,
2019
|
December 31, 2018
|
December 31, 2017
|
Corporate income tax
|
2,822.47
|
896.03
|
-
|
Individual income tax
|
57.90
|
5.97
|
-
|
Stamp duty
|
23.45
|
19.68
|
7.00
|
Auto-purchase tax
|
-
|
2.50
|
-
|
Total
|
2,903.82
|
924.17
|
7.00
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Interest payable
|
-
|
67.66
|
5.66
|
Other payables
|
6,190.57
|
9,069.62
|
6,309.80
|
Total
|
6,190.57
|
9,137.28
|
6,315.46
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
Current accounts of related parties
|
4,784.23
|
7,958.38
|
5,043.00
|
Deposit
|
565.22
|
21.35
|
21.35
|
Rental fee payable
|
113.34
|
164.08
|
888.36
|
Intermediary fee payable
|
114.24
|
25.11
|
-
|
Accrued installation cost
|
65.00
|
167.08
|
35.26
|
Other accrued expenses
|
175.32
|
167.68
|
64.71
|
Employee reimbursement and subsidies
|
105.77
|
77.96
|
163.87
|
Other
|
267.45
|
487.98
|
93.25
|
Total
|
6,190.57
|
9,069.62
|
6,309.80
|
Item
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
Amount
|
Proportion
%
|
|
Long term accounts payable
|
1,371.16
|
20.94%
|
2,092.29
|
31.88%
|
2,452.96
|
31.19%
|
Long-term employee benefits payable
|
111.43
|
1.70%
|
21.26
|
0.32%
|
-
|
-
|
Estimated liabilities
|
2,205.36
|
33.67%
|
1,316.39
|
20.05%
|
385.92
|
4.91%
|
Deferred income
|
2,861.50
|
43.69%
|
3,133.95
|
47.75%
|
5,026.47
|
63.91%
|
Total
|
6,549.46
|
100.00%
|
6,563.89
|
100.00%
|
7,865.35
|
100.00%
|
Item
|
December 31,
2019
|
December 31,
2018
|
December 31,
2017
|
ACMR
|
1,371.16
|
2,092.29
|
-
|
Shengxin Shanghai
|
-
|
-
|
2,033.72
|
Zhang Jiang Group
|
419.24
|
||
Total
|
1,371.16
|
2,092.29
|
2,452.96
|
Item
|
December 31,
2019
|
December 31,
2018
|
December 31,
2017
|
Product quality warranty
|
2,205.36
|
1,316.39
|
385.92
|
Estimated liabilities
|
2,205.36
|
1,316.39
|
385.92
|
Item
|
December 31,
2019
|
December 31,
2018
|
December 31,
2017
|
Asset related /
income related
|
65-45nm Copper Interconnection Stress Free Polishing Equipment R&D
|
906.82
|
1,045.52
|
1,245.35
|
Comprehensive subsidy
|
20-14nm Copper Interconnection Copper Plating Equipment R&D and Application
|
1,860.60
|
1,934.86
|
3,737.63
|
Comprehensive subsidy
|
Patent Pilot Funding
|
-
|
31.36
|
43.49
|
Income related
|
R&D and |
94.09
|
122.22
|
-
|
Income related
|
Industrialization of Polytetrafluoroethylene Cavity Manufacturing Process for Semiconductor Equipment
|
|
|
|
|
Total
|
2,861.50
|
3,133.95
|
5,026.47
|
Main financial indicators
|
December 31,
2019
|
December 31,
2018
|
December 31,
2017
|
Current ratio (frequency)
|
2.93
|
1.34
|
1.49
|
Quick ratio (frequency)
|
2.18
|
0.71
|
0.79
|
Company name
|
December 31, 2019
|
December 31, 2018
|
December 31, 2017
|
|||
Current ratio
|
Quick ratio
|
Current ratio
|
Quick ratio
|
Current ratio
|
Quick ratio
|
NAURA
|
1.77
|
1.01
|
1.27
|
0.59
|
1.50
|
0.81
|
AMEC
|
4.29
|
3.08
|
2.12
|
1.19
|
1.04
|
0.51
|
KINGSEMI
|
5.84
|
4.69
|
2.35
|
1.19
|
2.40
|
1.52
|
HZCCTECH
|
2.65
|
1.62
|
2.30
|
1.80
|
4.07
|
3.61
|
Average value
|
3.64
|
2.60
|
2.01
|
1.19
|
2.25
|
1.61
|
The Company
|
2.93
|
2.18
|
1.34
|
0.71
|
1.49
|
0.79
|
Main financial indicators
|
December 31, 2019/
FY 2019
|
December 31, 2018/
FY 2018
|
December 31, 2017/
FY 2017
|
Asset liability ratio (parent
|
32.56%
|
76.34%
|
84.86%
|
Company name
|
December 31,
2019
|
December 31,
2018
|
December 31,
2017
|
NAURA
|
55.59%
|
62.49%
|
57.27%
|
AMEC
|
21.43%
|
40.09%
|
88.30%
|
KINGSEMI
|
18.93%
|
42.09%
|
42.36%
|
HZCCTECH
|
24.57%
|
30.63%
|
22.28%
|
Average value
|
30.13%
|
43.83%
|
52.55%
|
The Company
|
36.55%
|
77.19%
|
84.93%
|
Financial indicators
|
2019
|
2018
|
2017
|
Turnover rate of accounts receivables (frequency)
|
3.80
|
3.91
|
4.29
|
Inventory turnover rate (frequency)
|
1.44
|
1.51
|
1.09
|
Company
name
|
Turnover rate of accounts
receivables |
Inventory turnover
|
||||
2019
|
2018
|
2017
|
2019
|
2018
|
2017
|
|
NAURA
|
4.56
|
4.21
|
3.11
|
0.73
|
0.81
|
0.88
|
AMEC
|
5.21
|
3.56
|
2.90
|
1.08
|
0.99
|
0.98
|
KINGSEMI
|
3.93
|
5.39
|
6.71
|
0.74
|
0.97
|
1.19
|
HZCCTECH
|
2.05
|
1.79
|
1.58
|
0.89
|
1.20
|
1.66
|
Average value
|
3.94
|
3.74
|
3.58
|
0.86
|
0.99
|
1.18
|
The Company
|
3.80
|
3.91
|
4.29
|
1.44
|
1.51
|
1.09
|
Item
|
2019
|
2018
|
2017
|
Net cash flow from operating activities
|
7,270.65
|
3,881.03
|
-899.23
|
Net cash flow from investment activities
|
-26,425.86
|
-1,472.30
|
-205.23
|
Net cash flow from financing activities
|
53,419.46
|
2,889.51
|
3,771.30
|
Effect of exchange rate changes on cash and cash equivalents
|
155.80
|
-230.70
|
-131.09
|
Net increase in cash and cash equivalents
|
34,420.05
|
5,067.54
|
2,535.75
|
Balance of cash and cash equivalents at the beginning of the period
|
9,582.86
|
4,515.32
|
1,979.57
|
Balance of cash and cash equivalents at the end of the period
|
44,002.91
|
9,582.86
|
4,515.32
|
Item
|
2019
|
2018
|
2017
|
Cash received from sales of goods or rendering of services
|
73,063.06
|
54,089.25
|
17,987.13
|
Refunds of taxes
|
5,141.52
|
3,161.69
|
2,294.49
|
Cash received from other operating activities
|
3,212.40
|
426.64
|
2,307.99
|
Subtotal of cash inflow from operating activities
|
81,416.97
|
57,677.58
|
22,589.60
|
Cash paid for goods and services
|
53,888.11
|
40,071.65
|
13,875.28
|
Cash paid to and on behalf of employees
|
8,437.93
|
5,837.84
|
3,503.58
|
Taxes paid
|
857.52
|
26.50
|
21.59
|
Other cash paid related to operating activities
|
10,962.76
|
7,860.56
|
6,088.38
|
Subtotal of cash outflow from operating activities
|
74,146.33
|
53,796.55
|
23,488.83
|
Net cash flow from operating activities
|
7,270.65
|
3,881.03
|
-899.23
|
Net profit
|
13,488.73
|
9,253.04
|
1,086.06
|
Net cash flow/net profit from operating activities
|
53.90%
|
41.94%
|
-82.80%
|
Item
|
2019
|
2018
|
2017
|
Net profit
|
13,488.73
|
9,253.04
|
1,086.06
|
Add: credit impairment loss
|
223.51
|
-
|
-
|
Provision for impairment of assets
|
78.88
|
237.37
|
298.66
|
Depreciation of fixed assets
|
253.72
|
201.07
|
137.43
|
Amortization of intangible assets
|
56.30
|
44.50
|
87.94
|
Amortization of long-term deferred expenses
|
287.13
|
29.96
|
26.58
|
Losses on scrapping of fixed assets (“-” for gains)
|
202.85
|
1.47
|
0.33
|
Financial expenses (“-” for gains)
|
531.55
|
751.55
|
339.63
|
Investment loss (“-” for gains)
|
-170.78
|
1.02
|
-
|
Decrease of deferred income tax assets (“-” for increase)
|
-903.44
|
137.07
|
248.00
|
Decrease of inventory (“-” for increase)
|
-4,390.30
|
-12,832.70
|
-2,089.98
|
Decrease of operating receivables (“-” for increase)
|
-2,849.30
|
-10,560.81
|
-7,784.80
|
Increase in operating payables (“-” for decrease)
|
-1,379.44
|
18,106.79
|
8,029.37
|
Others
|
1,841.24
|
-1,489.31
|
-1,278.44
|
Net cash flow from operating activities
|
7,270.65
|
3,881.03
|
-899.23
|
Item
|
2019
|
2018
|
2017
|
Net cash received from disposal of fixed assets
|
0.24
|
0.82
|
-
|
Subtotal of cash inflow from investment activities
|
0.24
|
0.82
|
-
|
Cash paid for acquisition and construction of fixed assets, intangible assets and other long-term assets
|
1,016.59
|
1,473.12
|
205.23
|
Cash paid for investment
|
18,885.26
|
-
|
-
|
Net cash paid by subsidiaries and other business units
|
3,075.00
|
-
|
-
|
Other cash paid related to investment activities
|
3,449.25
|
-
|
-
|
Subtotal of cash outflow from investment activities
|
26,426.10
|
1,473.12
|
205.23
|
Net cash flow used in investment activities
|
-26,425.86
|
-1,472.30
|
-205.23
|
Item
|
2019
|
2018
|
2017
|
Cash received from investment absorption
|
56,066.14
|
-
|
-
|
Cash received from borrowings
|
12,887.40
|
12,129.56
|
7,289.88
|
Cash received from other financing activities
|
-
|
-
|
3,920.52
|
Subtotal of cash inflows from financing activities
|
68,953.53
|
12,129.56
|
11,210.40
|
Cash paid for debt repayment
|
9,773.55
|
8,976.00
|
7,261.52
|
Cash paid for distribution of dividends or profits and for interest expenses
|
761.10
|
264.06
|
177.57
|
Cash paid relating to other financing activities
|
4,999.42
|
-
|
-
|
Subtotal of cash outflows from financing activities
|
15,534.08
|
9,240.06
|
7,439.09
|
Net cash flows from financing activities
|
53,419.46
|
2,889.51
|
3,771.30
|
Remaining lease term
|
Minimum lease payment
|
Within a year
|
1,042.00
|
1-2 years
|
968.11
|
2-3 years
|
564.50
|
Over 3 years
|
44.42
|
Total
|
2,619.03
|
S/N
|
Investment direction of raised funds
|
Total
Investment
|
Amount of raised funds
to be used
|
1
|
ACMSH Equipment R&D and Manufacturing Center
|
88,245.00
|
70,000.00
|
2
|
ACMSH High-end Semiconductor Equipment R&D Project
|
45,000.00
|
45,000.00
|
3
|
Supplementary working capital
|
65,000.00
|
65,000.00
|
Total
|
198,245.00
|
180,000.00
|
S/N
|
Project name
|
Amount
|
Proportion
|
1
|
Civil work and decoration
|
30,337.28
|
34.38%
|
2
|
Equipment and software investment
|
9,662.72
|
10.95%
|
3
|
Development or design costs
|
6,292.36
|
7.13%
|
4
|
Cost of raw materials for trial production
|
27, 266.87
|
30.90%
|
5
|
Fuel power cost for trial production
|
1,993.43
|
2.26%
|
6
|
Fees for testing (or detection)
|
2,097.45
|
2.38%
|
7
|
Reserve fund
|
4,194.89
|
4.75%
|
8
|
Land acquisition
|
6,400.00
|
7.25%
|
Total
|
88,245.00
|
100.00%
|
S/N
|
Project name
|
Amount
|
Proportion
|
1
|
Hardware investment
|
8,156.15
|
18.12%
|
2
|
R&D Materials
|
28, 790.22
|
63.98%
|
3
|
Testing and inspection
|
749.23
|
1.66%
|
4
|
Staff remuneration
|
6,177.60
|
13.73%
|
5
|
Other expenses
|
1,126.80
|
2.50%
|
Total
|
45,000.00
|
100.00%
|
S/N
|
Name of Supplier
|
Purchased
Product
|
Contract Term
|
Degree of Performance
|
1
|
NINEBELL
|
Material transforming products
|
Non-fixed term from 2017/1/1
|
Ongoing
|
2
|
DOUBLE MERITS HOLDINGS LIMITED
|
Gas circuit and special equipment products, etc.
|
Non-fixed term from 2019/1/1
|
Ongoing
|
3
|
Nomura Micro Science Co., Ltd.
|
Special equipment products
|
Non-fixed term from 2017/1/1
|
Ongoing
|
4
|
SAS Technology Limited
|
Gas circuit products
|
Non-fixed term from 2017/1/1
|
Ongoing
|
13
|
ACMR, CleanChip HK
|
Yangtze Memory
|
Cleaning equipment
|
6,428.00
|
Subject to the contract/order
|
14
|
Charter Base International
|
JRH
|
Cleaning equipment
|
310.00
|
Subject to the contract/order
|
15
|
Charter Base International
|
Semiconductor Manufacturing North China (Beijing) Corporation
|
Cleaning equipment
|
313.00
|
2017/9/28
|
16
|
Charter Base International
|
Semiconductor Manufacturing International (Shanghai) Corporation
|
Cleaning equipment
|
79.60
|
2017/3/30
|
17
|
CleanChip HK
|
Ningbo Semiconductor International Corporation
|
Cleaning equipment
|
220.00
|
4.5 months after receipt of the order
|
18
|
CleanChip HK
|
SMIC Southern Integrated Circuit Manufacturing Co., Ltd.
|
Cleaning equipment
|
280.00
|
2019/3/25
|
19
|
CleanChip HK
|
SJsemi
|
Advanced packaging wet process equipment, electroplating equipment
|
94.70
|
2018/7/30
|
S/N
|
Seller
|
Name of Customer
|
Subject
|
Contract
|
Specified
|
Matter of
Contract
|
Price |
Delivery
Date
|
|||
1
|
CleanChip HK
|
SJsemi
|
Advanced packaging wet process equipment
|
230.00
|
Last consignment
2018/9/20
|
2
|
CleanChip HK
|
SMIC Southern Integrated Circuit Manufacturing Co., Ltd.
|
Cleaning equipment
|
628.00
|
Subject to the contract/order
|
3
|
Charter Base International
|
Semiconductor Manufacturing International (Shanghai) Corporation
|
Cleaning equipment
|
320.00
|
2017/6/30
|
4
|
CleanChip HK
|
Semiconductor Manufacturing North China (Beijing) Corporation
|
Cleaning equipment
|
822.00
|
Subject to the contract/order
|
5
|
CleanChip HK
|
Yangtze Memory
|
Cleaning equipment
|
1,938.00
|
Subject to the contract/order
|
6
|
CleanChip HK
|
Zing Semiconductor Corporation
|
Cleaning equipment
|
185.00
|
2020/2/15
|
7
|
Issuer
|
Wafer Works Epitaxial Corporation
|
Cleaning equipment
|
150.00
|
2019/11/1
|
8
|
CleanChip HK
|
Zhengzhou Konggang Hejing Technology Co., Ltd.
|
Cleaning equipment
|
202.00
|
2020/5/30
|
9
|
CleanChip HK
|
Shanghai Huali Microelectronics Corporation
|
Cleaning equipment
|
450.80
|
2019/8/20
|
10
|
Issuer, CleanChip HK
|
Shanghai Huali Integrated Circuit Corporation
|
Cleaning equipment
|
1,250.26
|
Subject to the contract/order
|
11
|
CleanChip HK
|
Xiamen Tongfu Microelectronics Co., Ltd.
|
Advanced packaging wet process equipment
|
290.00
|
Subject to the contract/order
|
12
|
CleanChip HK
|
Innotron Memory Co., Ltd.
|
Cleaning equipment
|
394.30
|
2019/6/25
|
13
|
Issuer, CleanChip HK
|
Jiangyin Changdian Advanced Packaging Co., Ltd.
|
Advanced packaging wet process equipment
|
778.00
|
Subject to the contract/order
|
14
|
CleanChip HK
|
Jiangsu CAS Microelectronics Integration Technology Co., Ltd.
|
Advanced packaging wet process equipment
|
171.00
|
Subject to the contract/order
|
15
|
CleanChip HK
|
Huahong Semiconductor (Wuxi) Co., Ltd.
|
Cleaning equipment
|
1,736.00
|
Subject to the contract/order
|
16
|
Issuer
|
Nepes
|
Advanced packaging wet process equipment
|
282.40
|
Subject to the contract/order
|
S/N
|
Lender
|
Borrower
|
Contract Name
|
Contract
Amount
|
Term
|
1
|
China Everbright Bank Shanghai Branch
|
Issuer
|
Liquidity Loan Contract (No.: 3675022020002)
|
RMB19,000,000
|
2020/2/19 to 2020/8/24
|
2
|
China Everbright Bank Shanghai Branch
|
Issuer
|
Liquidity Loan Contract (No.: 3675022020005)
|
RMB30,000,000
|
2020/4/2 to 2021/4/1
|
3
|
China Everbright Bank Shanghai Branch
|
Issuer
|
Liquidity Loan Contract (No.: 3675022020006)
|
USD820,000
|
2020/4/30 to 2021/4/29
|
4
|
Bank of Communications Shanghai New Area Sub-branch
|
Issuer
|
Liquidity Loan Contract (No.: Z2004LN15653621)
|
RMB10,000,000
|
2020/4/20 to 2021/10/8
|
5
|
Bank of Shanghai Pudong Branch
|
Issuer
|
Liquidity Revolving Loan Contract (No.: 20120025801)
|
RMB70,000,000
|
2020/4/24 to 2022/3/19
|
6
|
Bank of Shanghai Pudong Branch
|
Issuer
|
Foreign Exchange Liquidity Revolving Loan Contract (No.: 20120025802)
|
USD9,500,000
|
2020/4/24 to 2022/3/19
|
S/N
|
Credit Grantor
|
Credit
Receiver
|
Contract Name
|
Maximum
Credit Line
|
Term
|
1
|
China Everbright Bank Shanghai Branch
|
Issuer
|
Comprehensive Credit Agreement (No.: 3675012020003)
|
RMB80 million
|
2020/4/2 to 2021/4/1
|
2
|
Bank of Shanghai Pudong Branch
|
Issuer
|
Comprehensive Credit Agreement (No.: 201200258)
|
RMB70 million
|
2020/4/24 to 2022/3/19
|
S/N
|
Lender
|
Guarantor
|
Contract
|
Guarantee
Amount
|
Term
|
1
|
Bank of China Shanghai Pudong Development Zone Sub-branch
|
HUI WANG
|
Maximum Amount Guarantee Contract (No.: PKF2018ZGBZ No. 17146801)
|
Maximum principal balance of claims secured: RMB 30
million
|
2018/3/1 to 2021/3/1
|
2
|
China Everbright Bank Shanghai Branch
|
HUI WANG
|
Maximum Amount Guarantee Contract (No.: 3675012020003-1)
|
Maximum principal balance of claims secured: RMB 80 million
|
Two years after the expiration of the maturity of facility as stipulated in the specific credit contract or agreement
|
3
|
Bank of Shanghai Pudong Branch
|
CleanChip HK
|
Maximum Amount Guarantee Contract (No.: ZDB20120025801)
|
RMB77 million
|
2020/4/24 to 2022/3/19
|
4
|
Bank of Shanghai Pudong Branch
|
HUI WANG
|
Maximum Amount Guarantee Contract (No.: ZDB20120025802)
|
RMB77 million
|
2020/4/24 to 2022/3/19
|
S/N
|
Grantor
|
Grantee
|
Contract No.
|
Location
|
Area
|
Grant Fee
|
1
|
China (Shanghai) Pilot Free Trade
|
Shengwei Shanghai
|
HZMLG (2020) Land Grant Contract No.1
|
Lot C02-05c of Lingang Heavy
|
42,786.30
square
|
RMB61.68 million
|
Zone Lingang Area
Development
Administration
|
Equipment Base | meters |
|
|
|
|
|
|
HUI WANG
|
|
HAIPING DUN
|
|
|
|
|
|
|
|
|
|
|
|
|
STEPHEN SUN-HAI CHIAO
|
|
QIANLI LUO
|
|
|
|
|
|
|
|
|
|
|
|
|
JIANG LI
|
|
CHEN HUANG
|
|
|
|
|
|
|
|
|
|
|
|
|
DI ZHANG
|
|
MINGXIU PENG
|
|
|
|
|
|
|
|
|
|
|
|
|
ZHANBING REN
|
|
|
|
ACM Research (Shanghai), Inc. |
|
|||
Date: |
|
TRACY DONG LIU
|
QIAN DONG
|
|||
QIAN LI
|
JIAN WANG
|
FUPING CHEN
|
|||
SOTHEARA CHEAV
|
LISA YI LU FENG
|
|||
MINGZHU LUO
|
||||
ACM Research (Shanghai), Inc. | ||||
Date: |
|
|
|
HUI WANG |
|
|
|
|
|
|
|
ACM RESEARCH, INC. |
|
|
|
|
Date: |
|
|
|
HUI WANG |
|
|
|
|
|
|
|
ACM Research (Shanghai), Inc. |
|
|
|
|
Date:
|
Signed by the Project Co-organizer:
|
|||
[***]
|
|||
Signed by the Sponsor Deputy:
|
|||
[***]
|
[***]
|
||
Signed by the Sponsor’s General Manager:
|
|||
[***]
|
|||
Signed by the Sponsor’s President and Legal Representative:
|
|||
[***]
|
|||
[***]
|
|||
Date:
|
Signed by the Sponsor’s General Manager:
|
|||
|
|||
[***] | |||
|
|||
Signed by the Sponsor’s President:
|
[***]
|
||
[***]
|
|||
Date:
|
Legal Representative:
|
|||
[***]
|
|||
[***]
|
|||
Date:
|
Person in Charge:
|
|
|
|
||
LING WANG
|
|
|
|
|
Handling Lawyers:
|
|
|||||
|
|
|||||
|
|
|
|
|||
|
HUI XU
|
|
FUAN CHEN
|
|
ANRONG WANG
|
|
|
|
|
|
|
||
|
|
King & Wood Mallesons
|
|
|
||
|
|
|
|
|
||
|
|
Date: [ ], 2020
|
|
|
|
|
ZHIGUO YANG
|
|
YI TANG
|
JING ZHAO
|
||
|
|
||
BDO CHINA SHU LUN PAN Certified Public Accountants LLP
|
|||
|
|||
May [ ], 2020 |
Signed by the Certified Public Valuers:
|
|
|
|
WEI LIU
|
QIQUAN GE
|
Signed by the Legal Representative or Authorized Representative:
|
||
|
||
|
ZHI HU
|
|
China United Assets Appraisal Group Co., Ltd. |
|
|
|
Date: |
|
|
ZHIGUO YANG
|
|
|
|
||
YI TANG
|
|
BAOYAN YIN
|
|
|
BDO CHINA SHU LUN PAN Certified Public Accountants LLP
|
|
|
|
May [ ], 2020 |
(1) |
Letter of sponsorship for offering;
|
(2) |
Letter of sponsorship for listing;
|
(3) |
Legal opinions;
|
(4) |
Financial reports and audit reports;
|
(5) |
Articles of Association (Draft);
|
(6) |
Letters of commitments made by the Issuer and other responsible parties in connection with the Offering and listing;
|
(7) |
Relevant financial statements and review reports (if any) between the base date of the Issuer’s audit report and the execution date of this [***];
|
(8) |
Profit forecast report and audit report (if any);
|
(9) |
Internal control verification report;
|
(10) |
Non-recurring income statement verified by certified public accountants;
|
(11) |
Documents from CSRC approving the Issuer’s registration for the Offering;
|
(12) |
Other important documents relating to the Offering.
|
S/N
|
Patentee
|
Patent Name
|
Patent
Type
|
Patent No.
|
Patent
Application
Date
|
Registration
Place
|
1
|
Issuer
|
Heat treatment method and device for semiconductor workpieces
|
Invention
|
ZL200710046405.9
|
2007.09.26
|
China
|
2
|
Issuer
|
electroplating apparatus for electroplating metal on semi-conductor wok piece
|
Invention
|
ZL200710172314.X
|
2007.12.14
|
China
|
3
|
Issuer
|
Method and device for cleaning semiconductor chip
|
Invention
|
ZL200810034827.9
|
2008.03.20
|
China
|
4
|
Issuer
|
Heat treatment method and device for semiconductor workpieces
|
Invention
|
ZL200710046404.4
|
2007.09.26
|
China
|
5
|
Issuer
|
Device or method for preparing solution for processing single wafer semiconductor
|
Invention
|
ZL200810037270.4
|
2008.05.12
|
China
|
6
|
Issuer
|
Invention
|
ZL200810034826.4
|
2008.03.20
|
China
|
|
7
|
Issuer
|
Invention
|
ZL200810037271.9
|
2008.05.12
|
China
|
|
8
|
Issuer
|
Invention
|
ZL200910050834.2
|
2009.05.08
|
China
|
|
9
|
Issuer
|
Invention
|
ZL200710172313.5
|
2007.12.14
|
China
|
10
|
Issuer
|
Utility Model
|
ZL201320216748.6
|
2013.04.25
|
China
|
|
11
|
Issuer
|
Wet process equipment
|
Design
|
ZL201330546123.1
|
2013.11.14
|
China
|
12
|
Issuer
|
Method and device for removing barrier layer
|
Invention
|
ZL200910050835.7
|
2009.05.08
|
China
|
13
|
Issuer
|
Invention
|
ZL200910053774.×
|
2009.06.25
|
China
|
|
14
|
Issuer
|
Invention
|
ZL200810203809.9
|
2008.12.01
|
China
|
|
15
|
Issuer
|
Invention
|
ZL201210369969.7
|
2012.09.27
|
China
|
|
16
|
Issuer
|
Utility Model
|
ZL201520110723.7
|
2015.02.15
|
China
|
|
17
|
Issuer
|
Invention
|
ZL201280071561.X
|
2012.03.28
|
China
|
|
18
|
Issuer
|
Method and device for realizing deep hole uniform metal interconnection on semiconductor silicon chip
|
Invention
|
ZL201110365926.7
|
2011.11.17
|
China
|
19
|
Issuer
|
Invention
|
ZL201210163145.4
|
2012.05.22
|
China
|
|
20
|
Issuer
|
Vacuum chuck for electropolishing and/or electroplating
|
Invention
|
ZL201280071572.8
|
2012.03.28
|
China
|
21
|
Issuer
|
Method and apparatus for pulse electrochemical polishing
|
Invention
|
ZL201280073426.9
|
2012.05.24
|
China
|
22
|
Issuer
|
Device and method for cleaning reverse side of wafer
|
Invention
|
ZL201210220445.1
|
2012.06.28
|
China
|
23
|
Issuer
|
Invention
|
ZL201280071560.5
|
2012.03.30
|
China
|
|
24
|
Issuer
|
Invention
|
ZL201210292690.3
|
2012.08.16
|
China
|
|
25
|
Issuer
|
Barrier layer removal method and barrier layer removal device
|
Invention
|
ZL201410257649.1
|
2009.05.08
|
China
|
26
|
Issuer
|
Invention
|
ZL201210243831.2
|
2012.07.13
|
China
|
|
27
|
Issuer
|
Invention
|
ZL201210163151.X
|
2012.05.22
|
China
|
|
28
|
Issuer
|
Invention
|
ZL201210491738.3
|
2012.11.27
|
China
|
|
29
|
Issuer
|
Invention
|
ZL201280077256.1
|
2012.11.28
|
China
|
|
30
|
Issuer
|
Invention
|
ZL201380076475.2
|
2013.05.09
|
China
|
|
31
|
Issuer
|
Invention
|
ZL201210089507.X
|
2012.03.30
|
China
|
|
32
|
Issuer
|
Invention
|
ZL201310116848.6
|
2013.04.07
|
China
|
33
|
Issuer
|
Invention
|
ZL201210189044.4
|
2012.06.08
|
China
|
|
34
|
Issuer
|
Invention
|
ZL201210369944.7
|
2012.09.27
|
China
|
|
35
|
Issuer
|
Invention
|
ZL201210499934.5
|
2012.11.29
|
China
|
|
36
|
Issuer
|
Invention
|
ZL201210214030.3
|
2012.06.26
|
China
|
|
37
|
Issuer
|
Invention
|
ZL201210366048.5
|
2012.09.27
|
China
|
|
38
|
Issuer
|
Invention
|
ZL201280077584.1
|
2012.12.10
|
China
|
|
39
|
Issuer
|
Invention
|
ZL201380075887.4
|
2013.04.22
|
China
|
|
40
|
Issuer
|
Invention
|
ZL201210089500.8
|
2012.03.30
|
China
|
|
41
|
Issuer
|
Invention
|
ZL201310335864.4
|
2013.08.02
|
China
|
|
42
|
Issuer
|
Invention
|
ZL201210375488.7
|
2012.09.27
|
China
|
43
|
Issuer
|
Invention
|
ZL201210491746.8
|
2012.11.27
|
China
|
|
44
|
Issuer
|
Invention
|
ZL201280077240.0
|
2012.11.27
|
China
|
|
45
|
Issuer
|
Invention
|
ZL201310117998.9
|
2013.04.07
|
China
|
|
46
|
Issuer
|
Invention
|
ZL201210292475.3
|
2012.08.16
|
China
|
|
47
|
Issuer
|
Invention
|
ZL201210213955.6
|
2012.06.26
|
China
|
|
48
|
Issuer
|
Invention
|
ZL201210290651.X
|
2012.08.15
|
China
|
|
49
|
Issuer
|
Invention
|
ZL201410236079.8
|
2014.05.30
|
China
|
|
50
|
Issuer
|
Invention
|
ZL201210292689.0
|
2012.08.16
|
China
|
|
51
|
Issuer
|
Invention
|
ZL201210501578.6
|
2012.11.30
|
China
|
|
52
|
Issuer
|
Invention
|
ZL201310167821.X
|
2013.05.08
|
China
|
|
53
|
Issuer
|
Invention
|
ZL201210290586.0
|
2012.08.15
|
China
|
54
|
Issuer
|
Invention
|
ZL201310566941.7
|
2013.11.14
|
China
|
|
55
|
Issuer
|
Invention
|
ZL201410067707.4
|
2014.02.26
|
China
|
|
56
|
Issuer
|
Invention
|
ZL201410131557.9
|
2014.04.02
|
China
|
|
57
|
Issuer
|
Invention
|
ZL201210366144.X
|
2012.09.27
|
China
|
|
58
|
Issuer
|
Invention
|
ZL201310166862.7
|
2013.05.08
|
China
|
|
59
|
Issuer
|
Invention
|
ZL201310567168.6
|
2013.11.14
|
China
|
|
60
|
Issuer
|
Invention
|
ZL201410117472.5
|
2014.03.26
|
China
|
|
61
|
Issuer
|
Invention
|
ZL201410190482.1
|
2014.05.07
|
China
|
|
62
|
Issuer
|
Invention
|
ZL201410512483.3
|
2014.09.29
|
China
|
|
63
|
Issuer
|
Invention
|
ZL201310169389.8
|
2013.05.09
|
China
|
|
64
|
Issuer
|
Invention
|
ZL201410067724.8
|
2014.02.26
|
China
|
65
|
Issuer
|
Invention
|
ZL201380077368.1
|
2013.06.19
|
China
|
|
66
|
Issuer
|
Invention
|
ZL201410235896.1
|
2014.05.30
|
China
|
|
67
|
Issuer
|
Invention
|
ZL201480079797.7
|
2014.07.08
|
China
|
|
68
|
Issuer
|
Invention
|
ZL201410110796.6
|
2014.03.24
|
China
|
|
69
|
Issuer
|
Invention
|
ZL201410190424.9
|
2014.05.07
|
China
|
|
70
|
Issuer
|
Invention
|
ZL201410235876.4
|
2014.05.30
|
China
|
|
71
|
Issuer
|
Invention
|
ZL201380075888.9
|
2013.04.22
|
China
|
|
72
|
Issuer
|
Invention
|
ZL201410512787.X
|
2014.09.29
|
China
|
|
73
|
NOMURA MICRO SCIENCE KK; Issuer; HJS ENG CO LTD
|
Invention
|
ZL201610561383.9
|
2016.07.15
|
China
|
|
74
|
Issuer
|
Invention
|
ZL201480082120.9
|
2014.09.26
|
China
|
75
|
Issuer
|
Invention
|
ZL201410235874.5
|
2014.05.30
|
China
|
|
76
|
Issuer
|
Invention
|
ZL201410365997.0
|
2014.07.29
|
China
|
|
77
|
Issuer
|
Invention
|
ZL201310553969.7
|
2013.11.08
|
China
|
|
78
|
Issuer
|
Invention
|
ZL201310553944.7
|
2013.11.08
|
China
|
|
79
|
Issuer
|
Invention
|
ZL201410235865.6
|
2014.05.30
|
China
|
|
80
|
Issuer
|
Invention
|
ZL201280072827.2
|
2012.05.02
|
China
|
|
81
|
Issuer
|
Invention
|
ZL201310169431.6
|
2013.05.09
|
China
|
|
82
|
Issuer
|
Invention
|
ZL201310566899.9
|
2013.11.14
|
China
|
|
83
|
Issuer
|
Invention
|
ZL201410066813.0
|
2014.02.26
|
China
|
|
84
|
Issuer
|
Invention
|
ZL201410196004.1
|
2014.05.09
|
China
|
|
85
|
Issuer
|
Invention
|
ZL201410366139.8
|
2014.07.29
|
China
|
|
86
|
Issuer
|
Invention
|
ZL201310567261.7
|
2013.11.14
|
China
|
87
|
Issuer
|
Invention
|
ZL201410366491.1
|
2014.07.29
|
China
|
|
88
|
Issuer
|
Invention
|
ZL201410366155.7
|
2014.07.29
|
China
|
|
89
|
Issuer
|
Invention
|
ZL201410366212.1
|
2014.07.29
|
China
|
|
90
|
Issuer
|
Device for processing semiconductor structure
|
Invention
|
ZL201410513602.7
|
2014.09.29
|
China
|
91
|
Issuer
|
Wafer gluing equipment
|
Invention
|
ZL201410366461.0
|
2014.07.29
|
China
|
92
|
Issuer
|
Polishing disk and cooling device thereof
|
Invention
|
ZL201410235835.5
|
2014.05.30
|
China
|
93
|
Issuer
|
Method for improving polishing uniformity of wafer
|
Invention
|
ZL201410236044.4
|
2014.05.30
|
China
|
94
|
Issuer
|
Workpiece processing device
|
Invention
|
ZL201310553898.0
|
2013.11.08
|
China
|
95
|
Issuer
|
Gelatinizing equipment and framework thereof
|
Invention
|
ZL201410365925.6
|
2014.07.29
|
China
|
96
|
Issuer
|
Levelness measuring device and method
|
Invention
|
ZL201410066598.4
|
2014.02.26
|
China
|
97
|
Issuer
|
Recovery device for metal ions in electrochemical polishing solutions
|
Invention
|
ZL201410512977.1
|
2014.09.29
|
China
|
98
|
Issuer
|
Method for forming semiconductor structures
|
Invention
|
ZL201310566058.8
|
2013.11.14
|
China
|
99
|
Issuer
|
Metal coating processing method
|
Invention
|
ZL201310330145.3
|
2013.07.31
|
China
|
100
|
Issuer
|
Apparatus For Holding Substrate
|
Invention
|
ZL201580085077.6
|
2015.12.04
|
China
|
101
|
Issuer
|
Horizontal adjusting device for chuck and method utilizing device to horizontally adjust chuck
|
Invention
|
ZL201410513026.6
|
2014.09.29
|
China
|
102
|
Issuer
|
Uniform air flow device
|
Invention
|
ZL201410366171.6
|
2014.07.29
|
China
|
103
|
Issuer
|
Gumming machine with automatic cleaning function and automatic cleaning method for gumming machine
|
Invention
|
ZL201510242142.3
|
2015.05.13
|
China
|
104
|
Issuer
|
Coaxial adjusting device and coaxial adjusting method using the same
|
Invention
|
ZL201510081687.0
|
2015.02.15
|
China
|
105
|
Issuer
|
Falling-prevention semiconductor cleaning device
|
Invention
|
ZL201510081989.8
|
2015.02.15
|
China
|
106
|
Issuer
|
Two-sided vapor-phase etching device
|
Invention
|
ZL201410512991.1
|
2014.09.29
|
China
|
107
|
Issuer
|
Brush moving device of semiconductor cleaning device
|
Invention
|
ZL201410366503.0
|
2014.07.29
|
China
|
108
|
Issuer
|
Copper-plated thinning integrated device
|
Invention
|
ZL201410190951.X
|
2014.05.07
|
China
|
Patentee
|
Patent Name
|
Patent
Type
|
Patent No.
|
Patent
Application
Date
|
Registration
Place
|
|
1
|
Issuer
|
METHODES AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
4994501
|
2007.12.10
|
Japan
|
2
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
5367840
|
2008.12.12
|
Japan
|
3
|
Issuer
|
BARRIER LAYER REMOVAL METHOD AND APPARATUS
|
Invention
|
5412517
|
2008.08.20
|
Japan
|
4
|
Issuer
|
SOLUTION PREPARATION APPARATUS AND METHOD FOR TREATING INDIVIDUAL SEMICONDUCTOR WORKPIECE
|
Invention
|
5442705
|
2008.03.17
|
Japan
|
5
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
5466638
|
2007.07.05
|
Japan
|
6
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
5648047
|
2009.03.31
|
Japan
|
7
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING FLIP CHIP ASSEMBLIES
|
Invention
|
6063944
|
2011.09.22
|
Japan
|
8
|
Issuer
|
NOZZLE FOR STRESS-FREE POLISHING METAL LAYERS ON SEMICONDUCTOR WAFERS
|
Invention
|
6076458
|
2012.03.30
|
Japan
|
9
|
Issuer
|
METHODS AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATES
|
Invention
|
6113154
|
2011.06.24
|
Japan
|
10
|
NOMURA MICRO SCIENCE KK; Issuer; HJS ENG CO LTD
|
WASHING HYDROGEN WATER PRODUCING METHOD AND PRODUCING APPARATUS
|
Invention
|
6154860
|
2015.07.17
|
Japan
|
11
|
Issuer
|
METHOD AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATE
|
Invention
|
6162881
|
2013.04.22
|
Japan
|
12
|
Issuer
|
APPARATUS AND METHOD FOR PLATING AND/OR POLISHING WAFER
|
Invention
|
6186499
|
2013.05.09
|
Japan
|
13
|
Issuer
|
SUBSTRATE SUPPORTING APPARATUS
|
Invention
|
6198840
|
2012.11.27
|
Japan
|
14
|
NOMURA MICRO SCIENCE KK; Issuer; HJS ENG CO LTD
|
FUNCTIONAL WATER PRODUCING APPARATUS AND FUNCTIONAL WATER PRODUCING METHOD
|
Invention
|
6232086
|
2016.01.29
|
Japan
|
15
|
Issuer
|
METHOD AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFER
|
Invention
|
6275155
|
2012.11.28
|
Japan
|
16
|
Issuer
|
METHOD FOR FORMING METAL INTERCONNECTION
|
Invention
|
6301003
|
2014.07.08
|
Japan
|
17
|
Issuer
|
APPARATUS AND METHOD FOR PLATING AND/OR POLISHING WAFER
|
Invention
|
6431128
|
2013.05.09
|
Japan
|
18
|
Issuer
|
BARRIER LAYER REMOVAL METHOD AND SEMICONDUCTOR STRUCTURE FORMING METHOD
|
Invention
|
6438131
|
2014.10.17
|
Japan
|
19
|
Issuer
|
APPARATUS AND METHOD FOR CLEANING SEMICONDUCTOR WAFER
|
Invention
|
6490202
|
2014.09.26
|
Japan
|
20
|
Issuer
|
METHOD FOR REMOVING BARRIER LAYER FOR MINIMIZING SIDEWALL RECESS
|
Invention
|
6574486
|
2015.02.15
|
Japan
|
21
|
Issuer
|
APPARATUS FOR SUBSTRATE BEVEL AND BACKSIDE PROTECTION
|
Invention
|
6592529
|
2015.05.14
|
Japan
|
22
|
Issuer
|
A FALL-PROOF APPARATUS FOR CLEANING SEMICONDUCTOR DEVICES AND A CHAMBER WITH THE APPARATUS
|
Invention
|
6591555
|
2015.09.08
|
Japan
|
23
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
6605044
|
2015.05.20
|
Japan
|
24
|
Issuer
|
APPARATUS FOR HOLDING SUBSTRATE
|
Invention
|
6633756
|
2015.12.04
|
Japan
|
25
|
Issuer
|
METHOD AND APPARATUS FOR THERMAL TREATMENT OF SEMICONDUCTOR WORKPIECES
|
Invention
|
10-1370807
|
2007.08.29
|
Korea
|
26
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
10--1424622
|
2007.07.05
|
Korea
|
27
|
Issuer
|
PLATING APPARATUS FOR METALLIZATION ON SEMICONDUCTOR WORKPIECE
|
Invention
|
10-1424623
|
2007.11.02
|
Korea
|
28
|
Issuer
|
METHODES AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
10-1467974
|
2007.12.10
|
Korea
|
29
|
Issuer
|
METHOD AND APPARATUS TO PREWET WAFER SURFACE FOR METALLIZATION FROM ELECTROLYTE SOLUTION
|
Invention
|
10-1487708
|
2007.10.30
|
Korea
|
30
|
Issuer
|
BARRIER LAYER REMOVAL METHOD AND APPARATUS
|
Invention
|
10-1492467
|
2008.08.20
|
Korea
|
31
|
Issuer
|
SOLUTION PREPARATION APPARATUS AND METHOD FOR TREATING INDIVIDUAL SEMICONDUCTOR WORKPIECE
|
Invention
|
10-1519832
|
2008.03.17
|
Korea
|
32
|
Issuer
|
METHOD FOR SUBSTANTIALLY UNIFORM COPPER DEPOSITION ONTO
SEMICONDUCTOR WAFER
|
Invention
|
10-1521470
|
2008.09.16
|
Korea
|
33
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
10-1546660
|
2008.12.12
|
Korea
|
34
|
Issuer
|
METHODS AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATES
|
Invention
|
10-1783786
|
2011.06.24
|
Korea
|
35
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING FLIP CHIP ASSEMBLIES
|
Invention
|
10-1837070
|
2011.09.22
|
Korea
|
36
|
Issuer
|
METHOD FOR FORMING AIR GAP INTERCONNECT STRUCTURE
|
Invention
|
10-1842903
|
2011.09.20
|
Korea
|
37
|
Issuer
|
NOZZLE FOR STRESS-FREE POLISHING METAL LAYERS ON SEMICONDUCTOR WAFERS
|
Invention
|
10-1891730
|
2012.03.30
|
Korea
|
38
|
Issuer, and NOMURA MICRO SCIENCE CO., LTD
|
WASHING HYDROGEN WATER PRODUCING METHOD AND PRODUCING APPARATUS
|
Invention
|
10-1913465
|
2016.07.14
|
Korea
|
39
|
Issuer
|
LOADLOCK CHAMBER AND METHOD FOR TREATING SUBSTRATES USING THE SAME
|
Invention
|
10-1940580
|
2012.05.24
|
Korea
|
40
|
Issuer
|
METHOD AND APPARATUS FOR PULSE ELECTROCHEMICAL POLISHING
|
Invention
|
10-1947032
|
2012.05.24
|
Korea
|
41
|
Issuer
|
VACUUM CHUCK
|
Invention
|
10-1963851
|
2012.03.28
|
Korea
|
42
|
Issuer
|
METHOD AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFER
|
Invention
|
10-1992660
|
2012.11.28
|
Korea
|
43
|
Issuer
|
METHOD FOR FORMING INTERCONNECTION STRUCTURES
|
Invention
|
10-1976727
|
2012.11.27
|
Korea
|
44
|
Issuer
|
METHOD AND APPARATUS FOR THROUGH-SILICON VIAS REVEAL
|
Invention
|
10-2024122
|
2013.04.22
|
Korea
|
45
|
Issuer
|
APPARATUS AND METHOD FOR PLATING AND/OR POLISHING WAFER
|
Invention
|
10-2043811
|
2013.05.09
|
Korea
|
46
|
Issuer
|
PLATING APPARATUS FOR METALLIZATION ON SEMICONDUCTOR WORKPIECE
|
Invention
|
161074
|
2007.11.02
|
Singapore
|
47
|
Issuer
|
METHODES AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
162170
|
2007.12.10
|
Singapore
|
48
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
157876
|
2007.07.05
|
Singapore
|
49
|
Issuer
|
METHOD FOR SUBSTANTIALLY UNIFORM COPPER DEPOSITION ONTO
SEMICONDUCTOR WAFER
|
Invention
|
169663
|
2008.09.16
|
Singapore
|
50
|
Issuer
|
METHOD AND APPARATUS TO PREWET WAFER SURFACE FOR METALLIZATION FROM ELECTROLYTE SOLUTION
|
Invention
|
161057
|
2007.10.30
|
Singapore
|
51
|
Issuer
|
METHOD AND APPARATUS FOR THERMAL TREATMENT OF SEMICONDUCTOR WORKPIECES
|
Invention
|
159349
|
2007.08.29
|
Singapore
|
52
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
174616
|
2009.03.31
|
Singapore
|
53
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
172096
|
2008.12.12
|
Singapore
|
54
|
Issuer
|
SOLUTION PREPARATION APPARATUS AND METHOD FOR TREATING INDIVIDUAL SEMICONDUCTOR WORKPIECE
|
Invention
|
164856
|
2008.03.17
|
Singapore
|
55
|
Issuer
|
METHOD AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFER
|
Invention
|
11201503659Q
|
2012.11.28
|
Singapore
|
56
|
Issuer
|
METHODS AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATES
|
Invention
|
195849
|
2011.06.24
|
Singapore
|
57
|
Issuer
|
APPARATUS AND METHOD FOR TAPING ADHESIVE FILM ON SEMICONDUCTOR SUBSTRATE
|
Invention
|
11201510022S
|
2013.06.19
|
Singapore
|
58
|
Issuer
|
NOZZLE FOR STRESS-FREE POLISHING METAL LAYERS ON SEMICONDUCTOR WAFERS
|
Invention
|
11201405586T
|
2012.03.30
|
Singapore
|
59
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING FLIP CHIP ASSEMBLIES
|
Invention
|
11201400619Q
|
2011.09.22
|
Singapore
|
60
|
Issuer
|
SUBSTRATE SUPPORTING APPARATUS
|
Invention
|
11201503660V
|
2012.11.27
|
Singapore
|
61
|
Issuer
|
APPARATUS AND METHOD FOR PLATING AND/OR POLISHING WAFER
|
Invention
|
11201508466Q
|
2013.05.09
|
Singapore
|
62
|
Issuer
|
METHOD AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATE
|
Invention
|
11201507894X
|
2013.04.22
|
Singapore
|
63
|
Issuer
|
METHOD FOR OPTIMIZING METAL PLANARIZATION PROCESS
|
Invention
|
11201706624U
|
2015.02.15
|
Singapore
|
64
|
Issuer
|
APPARATUS AND METHOD FOR CLEANING SEMICONDUCTOR WAFER
|
Invention
|
11201702033V
|
2014.09.26
|
Singapore
|
65
|
Issuer
|
METHOD FOR ELECTROCHEMICAL POLISH IN CONSTANT VOLTAGE MODE
|
Invention
|
11201803236V
|
2015.10.30
|
Singapore
|
66
|
Issuer
|
METHOD AND APPARATUS FOR THROUGH-SILICON VIAS REVEAL
|
Invention
|
10201708304V
|
2013.04.22
|
Singapore
|
67
|
Issuer
|
PLATING APPARATUS FOR METALLIZATION ON SEMICONDUCTOR WORKPIECE
|
Invention
|
I355686
|
2007.11.05
|
Taiwan, China
|
68
|
Issuer
|
METHOD AND APPARATUS FOR THERMAL TREATMENT OF SEMICONDUCTOR WORKPIECES
|
Invention
|
I364075
|
2007.08.30
|
Taiwan, China
|
69
|
Issuer
|
METHOD AND APPARATUS TO PREWET WAFER SURFACE FOR METALLIZATION FROM ELECTROLYTE SOLUTIONS
|
Invention
|
I366610
|
2007.10.31
|
Taiwan, China
|
70
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
I371063
|
2007.06.15
|
Taiwan, China
|
71
|
Issuer
|
METHODES AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
I390618
|
2007.12.10
|
Taiwan, China
|
72
|
Issuer
|
WAFER CLEANING DEVICE
|
Utility Model
|
M464807
|
2013.05.07
|
Taiwan, China
|
73
|
Issuer
|
ELECTROCHEMICAL DEPOSITION SYSTEM
|
Invention
|
I417962
|
2008.03.20
|
Taiwan, China
|
74
|
Issuer
|
METHOD FOR SUBSTANTIALLY UNIFORM COPPER DEPOSITION ONTO
SEMICONDUCTOR WAFER
|
Invention
|
I425122
|
2008.09.17
|
Taiwan, China
|
75
|
Issuer
|
SOLUTION PREPARATION APPARATUS AND METHOD FOR TREATING INDIVIDUAL SEMICONDUCTOR WORKPIECE
|
Invention
|
I459489
|
2008.03.17
|
Taiwan, China
|
76
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
I483299
|
2009.01.09
|
Taiwan, China
|
77
|
Issuer
|
Wet process equipment
|
Design
|
D168609
|
2013.11.20
|
Taiwan, China
|
78
|
Issuer
|
BARRIER LAYER REMOVAL METHOD AND APPARATUS
|
Invention
|
I501302
|
2008.08.21
|
Taiwan, China
|
79
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
I501297
|
2009.03.31
|
Taiwan, China
|
80
|
Issuer
|
Method and apparatus for pulse electrochemical polishing
|
Invention
|
I501307
|
2013.07.31
|
Taiwan, China
|
81
|
Issuer
|
METHODS AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATES
|
Invention
|
I532083
|
2011.06.24
|
Taiwan, China
|
82
|
Issuer
|
Vacuum chuck for electropolishing and/or electroplating
|
Invention
|
I576468
|
2013.07.31
|
Taiwan, China
|
83
|
Issuer
|
Cleaning fluid flow control system and cleaning fluid flow control method
|
Invention
|
I587115
|
2013.08.27
|
Taiwan, China
|
84
|
Issuer
|
Detector and detection method for wafer position
|
Invention
|
I596695
|
2013.09.26
|
Taiwan, China
|
85
|
Issuer
|
Method and device for cleaning semiconductor silicon wafer
|
Invention
|
I604522
|
2014.05.16
|
Taiwan, China
|
86
|
Issuer
|
Apparatus and method for taping adhesive film on semiconductor substrate
|
Invention
|
I604521
|
2014.12.19
|
Taiwan, China
|
87
|
Issuer
|
Vacuum chuck
|
Invention
|
I606545
|
2013.07.31
|
Taiwan, China
|
88
|
Issuer
|
Formation method of air-gap interconnection structure
|
Invention
|
I608541
|
2012.01.20
|
Taiwan, China
|
89
|
Issuer
|
Method and apparatus for through-silicon vias reveal
|
Invention
|
I611507
|
2014.10.23
|
Taiwan, China
|
90
|
Issuer
|
Semiconductor wafer polishing method
|
Invention
|
I614799
|
2014.05.16
|
Taiwan, China
|
91
|
Issuer
|
Formation method of interconnection structure
|
Invention
|
I621234
|
2014.05.16
|
Taiwan, China
|
92
|
Issuer
|
Nozzle for stress-free electrochemical polishing
|
Invention
|
I639488
|
2013.07.31
|
Taiwan, China
|
93
|
Issuer
|
Method and apparatus for uniformly metallization on substrate
|
Invention
|
I639725
|
2014.10.13
|
Taiwan, China
|
94
|
Issuer
|
Substrate strutting apparatus
|
Invention
|
I644390
|
2014.05.16
|
Taiwan, China
|
95
|
NOMURA MICRO SCIENCE KK; Issuer; HJS ENG CO LTD
|
Manufacturing method and device of hydrogen water for cleaning
|
Invention
|
I646190
|
2016.06.29
|
Taiwan, China
|
96
|
Issuer
|
Apparatus and method for electroplating or polishing wafer
|
Invention
|
I647343
|
2014.05.16
|
Taiwan, China
|
97
|
Issuer
|
Apparatus and method for uniformly metallization on substrate
|
Invention
|
I658170
|
2015.02.17
|
Taiwan, China
|
98
|
Issuer
|
Load lock chamber and method of using load lock chamber to process substrates
|
Invention
|
I663676
|
2013.07.31
|
Taiwan, China
|
99
|
Issuer
|
Apparatus and method for removing edge film of reverse side of wafer
|
Invention
|
I665748
|
2015.12.04
|
Taiwan, China
|
100
|
Issuer
|
METHOD AND APPARATUS FOR THERMAL TREATMENT OF SEMICONDUCTOR WORKPIECES
|
Invention
|
US8,383,429
|
2007.08.29
|
USA
|
101
|
Issuer
|
PLATING APPARATUS FOR METALLIZATION ON SEMICONDUCTOR WORKPIECE
|
Invention
|
US8,518,224
|
2007.11.02
|
USA
|
102
|
Issuer
|
METHODES AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US8,580,042
|
2007.12.10
|
USA
|
103
|
Issuer
|
BARRIER LAYER REMOVAL METHOD AND APPARATUS
|
Invention
|
US8,598,039
|
2008.08.20
|
USA
|
104
|
Issuer
|
METHODES AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US8,671,961
|
2007.12.10
|
USA
|
105
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US9,070,723
|
2007.07.05
|
USA
|
106
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US9,281,177
|
2007.07.05
|
USA
|
107
|
Issuer
|
METHOD TO PREWET WAFER SURFACE
|
Invention
|
US9,295,167
|
2013.11.12
|
USA
|
108
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US9,492,852
|
2009.03.31
|
USA
|
109
|
Issuer
|
METHOD FOR FORMING INTERCONNECTION STRUCTURES
|
Invention
|
US9,496,172
|
2012.11.27
|
USA
|
110
|
Issuer
|
VACUUM CHUCK
|
Invention
|
US9,558,985
|
2012.03.28
|
USA
|
111
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US9,595,457
|
2008.12.12
|
USA
|
112
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US9,633,833
|
2009.03.31
|
USA
|
113
|
Issuer
|
METHODS AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATES
|
Invention
|
US9,666,426
|
2011.06.24
|
USA
|
114
|
Issuer
|
NOZZLE FOR STRESS-FREE POLISHING METAL LAYERS ON SEMICONDUCTOR WAFERS
|
Invention
|
US9,724,803
|
2012.03.30
|
USA
|
115
|
Issuer
|
METHOD AND APPARATUS FOR PULSE ELECTROCHEMICAL POLISHING
|
Invention
|
US9,865,476
|
2012.05.24
|
USA
|
116
|
Issuer
|
METHODS AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFERS
|
Invention
|
US10,020,208
|
2008.12.12
|
USA
|
117
|
Issuer and NOMURA MICRO SCIENCE CO., LTD.
|
WASHING HYDROGEN WATER PRODUCING METHOD AND PRODUCING APPARATUS
|
Invention
|
US10,059,911
|
2016.07.14
|
USA
|
118
|
Issuer
|
METHOD AND APPARATUS FOR UNIFORMLY METALLIZATION ON SUBSTRATE
|
Invention
|
US10,113,244
|
2013.04.22
|
USA
|
119
|
Issuer
|
APPARATUS AND METHOD FOR CLEANING SEMICONDUCTOR WAFER
|
Invention
|
US10,141,205
|
2014.09.26
|
USA
|
120
|
Issuer
|
METHOD FOR PROCESSING INTERCONNECTION STRUCTURE FOR MINIMIZING BARRIER SIDEWALL RECESS
|
Invention
|
US10,217,662
|
2015.08.12
|
USA
|
121
|
Issuer
|
APPARATUS AND METHOD FOR PLATING AND/OR POLISHING WAFER
|
Invention
|
US10,227,705
|
2013.05.09
|
USA
|
122
|
Issuer
|
METHOD AND APPARATUS FOR CLEANING SEMICONDUCTOR WAFER
|
Invention
|
US10,297,472
|
2012.11.28
|
USA
|
123
|
Issuer
|
SUBSTRATE SUPPORTING APPARATUS
|
Invention
|
US10,410,906
|
2012.11.27
|
USA
|
124
|
Issuer
|
BARRIER LAYER REMOVAL METHOD AND SEMICONDUCTOR STRUCTURE FORMING METHOD
|
Invention
|
US10,453,743
|
2014.10.17
|
USA
|
S/N
|
Owner
|
International
Classification
|
Trademark
|
Application
No./Registration
No.
|
Registration
Date
|
Expiry
Date
|
1
|
Issuer
|
Class 7
|
|
13396066
|
2015.08.28
|
2025.08.27
|
2
|
Issuer
|
Class 7
|
|
12186123
|
2014.08.07
|
2024.08.06
|
3
|
Issuer
|
Class 7
|
|
12186124
|
2014.08.07
|
2024.08.06
|
4
|
Issuer
|
Class 7
|
|
12186125
|
2014.08.07
|
2024.08.06
|
5
|
Issuer
|
Class 7
|
|
12186126
|
2014.08.07
|
2024.08.06
|
6
|
Issuer
|
Class 7
|
|
12186127
|
2014.09.07
|
2024.09.06
|
7
|
Issuer
|
Class 7
|
|
13396065
|
2015.08.21
|
2025.08.20
|
8
|
Issuer
|
Class 7
|
|
13396064
|
2015.03.14
|
2025.03.13
|
9
|
Issuer
|
Class 7
|
|
13396063
|
2015.03.14
|
2025.03.13
|
10
|
Issuer
|
Class 7
|
|
13396062
|
2015.03.14
|
2025.03.13
|
11
|
Issuer
|
Class 7
|
|
13396061
|
2015.08.28
|
2025.08.27
|
12
|
Issuer
|
Class 7
|
|
13396060
|
2016.01.07
|
2026.01.06
|
13
|
Issuer
|
Class 7
|
|
20518382
|
2017.08.28
|
2027.08.27
|
14
|
Issuer
|
Class 7
|
|
20518381
|
2017.10.21
|
2027.10.20
|
S/N
|
Owner
|
International
Classification
|
Trademark
|
Application
No./Registration
No.
|
Registration
Date
|
Expiry
Date
|
Registration
Place
|
1
|
Issuer
|
Class 7
|
|
107059018/1986040
|
2018.09.11
|
2029.05.15
|
Taiwan, China
|
2
|
Issuer
|
Class 7
|
|
107059019/1980974
|
2018.09.11
|
2029.04.15
|
Taiwan, China
|
3
|
Issuer
|
Class 7
|
|
107059021/1980975
|
2018.09.11
|
2029.04.15
|
Taiwan, China
|
4
|
Issuer
|
Class 7
|
|
107059022/1980976
|
2018.09.11
|
2029.04.15
|
Taiwan, China
|
5
|
Issuer
|
Class 7
|
|
International Registration No.: 1467252
|
2019.01.02
|
2029.01.02
|
Singapore
|
6
|
Issuer
|
Class 7
|
|
International Registration No.: 1467249
|
2019.01.02
|
2029.01.02
|
Singapore
|
7
|
Issuer
|
Class 7
|
|
International Registration No.: 1467242
|
2019.01.02
|
2029.01.02
|
Singapore
|
8
|
Issuer
|
Class 7
|
|
International Registration No.: 1467241
|
2019.01.02
|
2029.01.02
|
Singapore
|