Table of Contents

 

 

UNITED STATES

SECURITIES AND EXCHANGE COMMISSION

Washington, D.C. 20549

 

 

Form 10-K

 

 

(Mark One)

x Annual report pursuant to Section 13 or 15(d) of the Securities Exchange Act of 1934

For the fiscal year ended December 31, 2012

or

 

¨ Transition report pursuant to Section 13 or 15(d) of the Securities Exchange Act of 1934

For the transition period from              to             

Commission File Number 001-32598

 

 

ENTEGRIS, INC.

(Exact name of registrant as specified in its charter)

 

 

 

Delaware   41-1941551

(State or Other Jurisdiction of

Incorporation or Organization)

 

(I.R.S. Employer

Identification No.)

129 Concord Road, Billerica, Massachusetts 01821

(Address of principal executive offices and zip code)

(978) 436-6500

(Registrant’s telephone number, including area code)

Securities registered pursuant to Section 12(b) of the Act:

 

Title of Class

 

Name of Exchange on which Registered

Common Stock, $0.01 Par Value   The Nasdaq Global Select Market

Securities registered pursuant to Section 12(g) of the Act: None

 

 

Indicate by check mark if the registrant is a well known seasoned issuer, as defined in Rule 405 of the Securities Act.     x   Yes     ¨   No

Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or 15(d) of the Act.     ¨   Yes     x   No

Indicate by check mark whether the registrant: (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days.    Yes   x     No   ¨

Indicate by check mark whether the registrant has submitted electronically and posted on its corporate Web site, if any, every Interactive Data File required to be submitted and posted pursuant to Rule 405 of Regulation S-T (§232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to submit and post such files).    Yes   x     No   ¨

Indicate by check mark if disclosure of delinquent filers pursuant to Item 405 of Regulation S-K (§229.405) is not contained herein and will not be contained, to the best of registrant’s knowledge, in definitive proxy or information statements incorporated by reference in Part III of Form 10-K or any amendment to this Form 10-K.   ¨

Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer or a smaller reporting company. (Check one):

 

Large Accelerated Filer   x    Accelerated Filer   ¨
Non-Accelerated Filer   ¨   (Do not check if a smaller reporting company)    Smaller reporting company   ¨

Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Exchange Act).    Yes   ¨     No   x

The aggregate market value of voting stock held by non-affiliates of the registrant, based on the last sale price of the Common Stock on June 30, 2012, the last business day of registrant’s most recently completed second fiscal quarter, was $1,045,000,000. Shares held by each officer and director of the registrant and by each person who owned 10 percent or more of the outstanding Common Stock have been excluded from this computation in that such persons may be deemed to be affiliates of the registrant. This determination of affiliate status for this purpose is not necessarily a conclusive determination for other purposes.

As of February 13, 2013, 138,679,824 shares of the registrant’s Common Stock were outstanding.

DOCUMENTS INCORPORATED BY REFERENCE

Portions of the registrant’s Definitive Proxy Statement for its 2013 Annual Meeting of Stockholders scheduled to be held on May 8, 2013, or the 2013 Proxy Statement, which will be filed with the Securities and Exchange Commission, or SEC, not later than 120 days after December 31, 2012, are incorporated by reference into Part III of this Annual Report on Form 10-K. With the exception of the portions of the 2013 Proxy Statement expressly incorporated into this Annual Report on Form 10-K by reference, such document shall not be deemed filed as part of this Annual Report on Form 10-K.

 

 

 


Table of Contents

ENTEGRIS, INC.

INDEX TO ANNUAL REPORT ON FORM 10-K

FOR THE FISCAL YEAR ENDED DECEMBER 31, 2012

 

     Caption    Page

PART I

     

Item 1.

   Business    1

Item 1A.

   Risk Factors    18

Item 1B.

   Unresolved Staff Comments    31

Item 2.

   Properties    32

Item 3.

   Legal Proceedings    33

Item 4.

   Mine Safety Disclosures    33

PART II

     

Item 5.

   Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities    34

Item 6.

   Selected Financial Data    36

Item 7.

   Management’s Discussion and Analysis of Financial Condition and Results of Operations    37

Item 7A.

   Quantitative and Qualitative Disclosures About Market Risk    58

Item 8.

   Financial Statements and Supplementary Data    58

Item 9.

   Changes in and Disagreements with Accountants on Accounting and Financial Disclosure    58

Item 9A.

   Controls and Procedures    59

Item 9B.

   Other Information    60

PART III

     

Item 10.

   Directors, Executive Officers and Corporate Governance    61

Item 11.

   Executive Compensation    61

Item 12.

   Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters    62

Item 13.

   Certain Relationships and Related Transactions, and Director Independence    62

Item 14.

   Principal Accountant Fees and Services    62

PART IV

     

Item 15.

   Exhibits and Financial Statement Schedules    63
   Signatures    68
   Exhibit Index    69
   Index to Financial Statements    F-1

 

 

 

 

 


Table of Contents

P ART I

Item 1. Business.

T HE C OMPANY

Entegris is a worldwide developer, manufacturer and supplier of products and materials used in processing and manufacturing in the microelectronics and other high-technology industries. For the semiconductor industry, a subset of the microelectronics industry that constitutes the majority of our sales, our products maintain the purity and integrity of critical materials used in the semiconductor manufacturing process. For other high-technology applications, our products and materials are used to manufacture flat panel displays, light emitting diodes or “LEDs”, high-purity chemicals, such as photoresists, solar cells, gas lasers, optical and magnetic storage devices, and critical components for aerospace, glass manufacturing and biomedical applications. We sell our products worldwide through a direct sales force and through selected distributors.

The Company was incorporated in Delaware in March 2005 in connection with a strategic merger of equals transaction between Entegris, Inc., a Minnesota corporation (Entegris Minnesota), and Mykrolis Corporation, a Delaware corporation (Mykrolis). See O UR H ISTORY below.

We offer a diverse product portfolio that includes more than 17,000 standard and customized products that we believe provide the most comprehensive offering of products and services to maintain the purity and integrity of critical materials used by the semiconductor and other high-technology industries. Our products include both unit driven and capital expense driven products. Unit-driven and consumable products are consumed or exhausted during the customer’s manufacturing process and rely on the level of semiconductor and other manufacturing activity to drive growth. Capital expense driven products rely on the expansion of manufacturing capacity to drive growth. Our unit-driven and consumable product class includes membrane-based liquid filters and housings, metal-based gas filters, resin-based gas purifiers, wafer shippers, disk-shipping containers and test assembly and packaging products and consumable graphite and silicon carbide components used in plasma etch, ion implant and chemical vapor deposition (CVD) processes in semiconductor manufacturing. Our capital expense-driven products include our components, systems and subsystems that use electro-mechanical, pressure differential and related technologies, to permit semiconductor and other electronics manufacturers to monitor and control the flow and condition of process liquids used in these manufacturing processes, and our process carriers that protect the integrity of in-process wafers. Unit-driven and consumable products, including service revenue, accounted for approximately 66%, 63%, and 63% of our net sales for fiscal years 2012, 2011 and 2010, respectively, and capital expense-driven products accounted for approximately 34%, 37% and 37% of our net sales for the fiscal years 2012, 2011 and 2010, respectively.

Our Internet address is www.entegris.com . On this web site, under the “Investors—Financial Information—SEC Filings” section, we post the following filings as soon as reasonably practicable after they are electronically filed with, or furnished to, the U.S. Securities and Exchange Commission (SEC): our annual, quarterly, and current reports on Forms 10-K, 10-Q, and 8-K; our proxy statements; and any amendments to those reports or statements. All such filings are available on our web site free of charge. The SEC also maintains a web site ( www.sec.gov ) that contains reports, proxy and information statements, and other information regarding issuers that file electronically with the SEC. The content on our website, and any other website, as referred to in this Form 10-K is not incorporated by reference into this Form 10-K unless expressly noted.

S EMICONDUCTOR I NDUSTRY B ACKGROUND

Semiconductors, or integrated circuits, are the building blocks of today’s electronics and the backbone of the information age. The market for semiconductors has grown significantly over past decades. This trend is expected to continue due to increased usage of and reliance on the Internet through expanding channels, and the continuing demand for applications in data processing, wireless communications, broadband infrastructure, personal computers, handheld electronic devices and other consumer electronics.

 

1


Table of Contents

The manufacture of semiconductors is a highly complex process that consists of two principal segments: front-end processes and back-end processes. The front-end process begins with the delivery of raw silicon wafers from wafer manufacturers to semiconductor manufacturers and requires hundreds of highly complex and sensitive manufacturing steps, during which a variety of materials, including chemicals, gases and metals are repeatedly applied to the silicon wafer to build the integrated circuits on the wafer surface. We offer products, such as liquid and gas filters and purifiers, fluid and gas handling components and wafer shippers and process carriers, to purify these materials and to support each of the primary front-end process steps, which are listed below, as well as products to transport in-process wafers between each of these steps.

Deposition. Deposition refers to placing layers of insulating or conductive materials on a wafer surface in thin films that make up the circuit elements of semiconductor devices. The two main deposition processes are physical vapor deposition, where a thin film is deposited on a wafer surface in a low-pressure gas environment, and CVD, where a thin film is deposited on a wafer surface using a gas medium and a chemical bonding process. In addition, electro-plating technology is utilized for the deposition of low resistance conductive materials such as copper. The control of uniformity and thickness of these films through our filtration and purification products, which purify the fluids and materials used during the process, is critical to the performance of the semiconductor circuit and, consequently, the manufacturing yield. In addition, our graphite chamber liners and shower heads are critical expendable components used in the CVD chamber.

Chemical Mechanical Planarization (CMP). CMP flattens, or planarizes, the topography of the surface of the wafer after deposition by use of CMP polishing pads and slurries containing abrasive particles in a chemical mixture. The purpose of CMP is to permit the patterning of small features on the resulting smooth surface by the photolithography process. Semiconductor manufacturers need our filtration and purification systems to filter the liquid slurries and to remove oversized particles and contaminants that can cause defects on a wafer’s surface, while not affecting the functioning of the abrasive particles in the liquid slurries. Our filtration and purification systems thus enable semiconductor manufacturers to maintain acceptable manufacturing yields through the CMP process. In addition, manufacturers use our consumable polyvinyl alcohol (PVA) roller brushes to clean the wafer after completion of the CMP process to prepare the wafer for subsequent operations and our pad conditioners to prepare the surface of the CMP polishing pad.

Photolithography. Photolithography is the process step that defines the patterns of the circuits to be built on the chip. Before photolithography, a wafer is pre-coated with photoresist, a light-sensitive film composed of ultra-high purity chemicals in liquid form. The photoresist is exposed to specific forms of radiation, such as ultraviolet light, electrons or x-rays, to form patterns that eventually become the circuitry on the chip. This process is repeated many times, using different patterns and interconnects between layers to form the complex, multi-layer circuitry on a semiconductor chip. As device geometries decrease and wafer sizes increase, it is even more critical that these photoresists are dispensed onto the chip with accurate thickness and uniformity, as well as with low levels of contamination, and that the process gases are free of micro-contamination so that manufacturers can achieve acceptable yields in the manufacturing process. Our liquid filtration and liquid dispense systems play a critical role in assuring the pure, accurate and uniform dispense of photoresists onto the wafer. In addition, our gas micro-contamination systems eliminate airborne amine contaminants that can disrupt effective photolithography processes.

Etch and Resist Strip. Etch is the process of selectively removing precise areas of thin films that have been deposited on the surface of a wafer. The hardened photoresist protects the remaining material that makes up the circuits. During etch, specific areas of the film not covered by photoresist are removed to leave a desired circuit pattern. Similarly, resist strip is a process of removing the photoresist material from the wafer after the desired pattern has been etched on the wafer. Emerging advanced etch and resist strip applications require precisely controlled gas chemistries and flow rates in order to achieve precise etch and resist strip characteristics. Our gas filters and purifiers help assure the purity of these process gas streams, and our consumable graphite components deliver, baffle and confine these process gases during the etch process.

 

2


Table of Contents

Ion Implant. Ion implantation provides a means for introducing impurities into the silicon crystal, typically into selected areas defined by the photolithographic process. This selective implanting of ions into defined areas creates electrically conductive areas that form the transistors of the integrated circuits. Ion implanters have the ability to implant selected elements into the silicon wafers at precise locations and depths by bombarding the silicon surface with a precisely controlled beam of electrically charged ions of specific atomic mass and energy. These ions are embedded into the silicon crystal structure, changing the electrical properties of the silicon. The precision of ion implantation techniques permits customers to achieve the necessary control of this doping process to construct up to 500 billion transistors of uniform characteristics on a 300mm wafer. Since these transistors are the starting point of all subsequent process steps, repeatability, uniformity and yield are extremely important. Our consumable graphite components as well as our proprietary low temperature plasma coating process for core components are critical elements of ion implantation equipment.

Wet Cleaning. Ultra-high purity chemicals and photoresists of precise composition are used to clean the wafers, to pattern circuit images and to remove photoresists after etch. Before processes such as photoresist coating, thin film deposition, ion implantation, diffusion and oxidation, and after processes such as ion implantation and etch, the photoresists must be stripped off, and the wafer cleaned in multiple steps of chemical processes. To maintain manufacturing yields and avoid defective products, these chemicals must be maintained at very high purity levels without the presence of foreign material such as particles, ions or organic contaminants. Our liquid filters and purifiers are used to assure the purity of these chemicals.

Our wafer and reticle carriers are high-purity “micro-environments” which carry wafers between each of the above process steps, protecting them from damage and contamination during these transport operations. Our fluid handling components assure the delivery of pure liquid chemicals to each of these process steps. Front-end wafer processing can involve hundreds of steps and take several weeks. As a result, a batch of 25 fully processed wafers, the standard number of wafers that can be transported in one of our 200 mm and 300 mm products, can be worth several million dollars. Since significant value is added to the wafer during each successive manufacturing step, it is essential that the wafer be handled carefully and precisely to minimize damage. Thus, in the case of wafer carriers, precise wafer positioning, highly reliable and predictable cassette interface dimensions and advanced materials are crucial. The failure to prevent damage to wafers can severely impact integrated circuit performance, render an integrated circuit inoperable or disrupt manufacturing operations. Our products enable semiconductor manufacturers to: minimize contamination (semiconductor processing is now so sensitive that ionic contamination in certain processing chemicals is measured in parts per trillion); protect semiconductor devices from electrostatic discharge and shock; avoid process interruptions; prevent damage or abrasion to wafers and materials during automated processing caused by contact with other materials or equipment; prevent damage due to abrasion or vibration of work-in-process and finished goods during transportation to and from customer and supplier facilities; and eliminate the dangers associated with handling toxic chemicals.

Once the front-end manufacturing process is completed, finished wafers are transferred to back-end manufacturers or assemblers. The back-end semiconductor manufacturing process consists of test, assembly and packaging of finished wafers into integrated circuits. Our wafer shippers, wafer and reticle carriers and integrated circuit trays facilitate the storage, transport, processing and protection of wafers through these front-end and back-end manufacturing steps.

Semiconductor manufacturing has become increasingly complex in recent years as new technologies have been introduced to enhance device performance and as larger wafer sizes have been introduced to increase production efficiencies. This increasing complexity of semiconductor devices has substantially increased the cost of semiconductor fab infrastructure and equipment and has made achieving target yields more difficult for semiconductor manufacturers adopting advanced processes. This has resulted in a number of challenges including the need for more complex, higher-precision liquid and gas delivery, measurement, control and purification systems and subsystems in the front-end manufacturing processes in order to improve time-to-market and manufacturing yields, reduce manufacturing costs, improve production quality and enhance product reliability. To address these challenges, semiconductor equipment companies and device manufacturers are

outsourcing the design and manufacture of liquid delivery, measurement, control and purification systems,

 

3


Table of Contents

subsystems, components, and consumables to us and to other well-established subsystem and component companies that have worldwide presence and leading technologies. The design and performance of those liquid delivery systems, subsystems, components and consumables are critical to the front-end semiconductor manufacturing process because they directly affect cost of ownership and manufacturing yields. We continually seek opportunities to work with our customers to address these challenges.

Also in response to these challenges and to achieve continued productivity gains, semiconductor manufacturers have become increasingly focused on materials management solutions that enable them to safely store, handle, process and transport critical materials throughout the manufacturing process to minimize the potential for damage or degradation to their materials and to protect their investment in processed wafers. The need for efficient and reliable materials management is particularly important as new materials are introduced. Further processing wafers in higher manufacturing technology nodes, larger wafers and finer line widths is more costly and more complex than for smaller wafer sizes and larger line widths. In addition, new materials and circuit shrinkage create new contamination and material compatibility risks, rendering larger wafers more vulnerable to damage or contamination. We believe that these challenges provide opportunities for our advanced purification, dispense, shipping, transport, process and storage products and systems. We also seek to bring our advanced polymer engineering expertise and advanced tool design capabilities to bear on these challenges to provide our customers with innovative materials-based solutions.

Many of the processes used to manufacture semiconductors are also used to manufacture photovoltaic cells, LEDs, flat panel displays and magnetic storage devices resulting in the need for similar filtration, purification, control and measurement capabilities. We seek to leverage our products and expertise in serving semiconductor applications to address these important market opportunities.

O UR B USINESS S TRATEGY

Our objective is to be a leading global provider of innovative products and solutions for purifying, protecting and transporting critical materials used in processing and manufacturing in the semiconductor and other high-technology industries. We intend to build upon our position as a worldwide developer, manufacturer and supplier of liquid delivery systems, components and consumables used by semiconductor and other electronic device manufacturers and upon our expertise in advanced specialty materials to grow our business in these and other high value-added manufacturing process markets. Our strategy includes the following key elements:

Comprehensive and Diverse Product Offerings. The semiconductor manufacturing industry is driven by rapid technological changes and intense competition. We believe that semiconductor manufacturers are seeking process control suppliers who can provide a broad range of reliable, flexible and cost-effective products, as well as the technological and application design expertise necessary to deliver effective solutions. Our comprehensive product offering enables us to meet a broad range of customer needs and provide a single source of flexible product offerings for semiconductor device and capital equipment manufacturers as they seek to consolidate their supplier relationships to a smaller select group. In addition, we believe manufacturers of semiconductor tools are looking to their suppliers for subsystems that provide more integrated functionality and that seamlessly communicate with other equipment. We believe our offering of consumables and equipment, as well as our ability to integrate them, allows us to provide advanced subsystems.

Diversified Revenue Stream. We target a diversified revenue stream by balancing our sales of wafer transport and process carriers as well as component and subsystem equipment products with sales of our unit-driven and consumable products. Our unit-driven and consumable products provide a relatively more stable and recurring source of revenue in this cyclical industry. Our capital expense-driven products, which are generally dependent upon such factors as the construction and expansion of semiconductor manufacturing facilities and the retrofitting and renovation of existing semiconductor facilities, position us to benefit from increases in capital spending that are typically more subject to the volatility of industry cycles. In addition, we are applying our products and technologies to adjacent markets such as solar, aerospace, industrial and life science to generate revenue independent of the cyclicality of the semiconductor markets.

 

4


Table of Contents

Technology Leadership. With the emergence of smaller and more powerful semiconductor devices, and the deployment of new materials and processes to produce them, we believe there is a need for greater materials management within the semiconductor fabrication process. We seek to extend our technology by developing advanced products that address more stringent requirements for greater purification, protection and transport of high value-added materials and for contamination control, fluid delivery and monitoring, and system integration. We have continuously improved our products as our customers’ needs have evolved. For example, we have developed proprietary materials blends for use in our wafer handling product family that address the contamination concerns of advanced semiconductor processing for below 32 nanometers; we have also developed advanced 300 mm wafer handling products utilizing advanced materials and have been actively developing products for handling 450 mm wafers, the next generation of semiconductor wafers. We have also expanded upon our proprietary two-stage dispense technology with integrated filtration for photoresist delivery, where the photoresist is filtered through one pump and precisely dispensed through a second pump at a different flow rate to reduce defects on wafers.

Strong Customer Base. We have established ongoing relationships with many leading original equipment manufacturers (OEMs) and materials suppliers in our key markets. These industry relationships have provided us with the opportunity for significant collaboration with our customers at the product design stage, which has facilitated our ability to introduce new products and applications that meet our customers’ needs. For example, we work with our key customers at the pre-design and design stages to identify and respond to their requests for current and future generations of products. We target opportunities to offer new technologies in emerging applications, such as copper plating, chemical mechanical planarization, wet-dry cleaning systems, and extreme ultra-violet, or EUV, photolithography. We believe that our large customer base will continue to be an important source of new product development opportunities.

Global Presence. We have established a global infrastructure of design, manufacturing, distribution, service and support facilities to meet the needs of our customers. As semiconductor and other electronic device manufacturers have become increasingly global, they have required that suppliers offer comprehensive local repair and customer support services. In response to this trend, we have, for example, expanded our operations in Taiwan to provide manufacturing capabilities to support our important customers in the region, we have established sales and service offices in China in anticipation of a growing semiconductor manufacturing base in that region and we have transferred customer support and logistics activities to local regions, including our expanded presence in Singapore, to enhance our global and regional management of supply chain and manufacturing processes. We maintain our customer relationships through a combination of direct sales and support personnel and selected independent sales representatives and distributors in Asia, Europe and the Middle East.

Ancillary Markets. We leverage our accumulated expertise in the semiconductor industry by developing products for applications that employ similar production processes that utilize materials integrity management, high-purity fluids and integrated dispense system technologies. Our products are used in manufacturing processes outside of the semiconductor industry, including the manufacturing of flat panel displays, fuel cell components, high-purity chemicals, photoresists, solar cells, gas lasers, optical and magnetic storage devices and fiberoptic cables. We plan to continue to identify and develop products that address materials management and advanced materials processing applications where fluid management plays a critical role. We believe that by utilizing our technology to provide manufacturing solutions across multiple industries, we are able to increase the total available market for our products and reduce, to an extent, our exposure to the cyclicality of any particular market.

Strategic Acquisitions, Partnerships and Related Transactions . We plan to pursue strategic acquisitions and business partnerships that enable us to address gaps in our product offerings, secure new customers, diversify into complementary product markets and broaden our technological capabilities and product offerings. Our acquisition of Poco Graphite in August of 2008 is an example of this strategy. Poco Graphite reinforces our presence in the semiconductor industry by providing a group of new products critical to front-end manufacturing processes based on a materials science that we did not previously have in our technology portfolio. Further, as

 

5


Table of Contents

the dynamics of the markets that we serve shift, we will reevaluate the ability of our existing businesses to provide value-added solutions to those markets in a manner that contributes to achieving our objectives; in the event that we conclude that a business is not able to do this, we expect to restructure or replace that business. The sale of our cleaning equipment business in 2008 is an example of this strategy. Finally, we are continuously evaluating opportunities for strategic alliances and joint development efforts with key customers and other industry leaders.

O UR S EGMENTS

We design, manufacture and market our products through three business segments: ( i)  our contamination control solutions segment, which offers a wide range of products that purify, monitor and deliver critical liquids and gases to the semiconductor manufacturing process and similar manufacturing processes, (ii)  our microenvironments segment, which offers products to preserve the integrity of wafers, reticles and electronic components at various stages of transport, processing and storage and (iii)  our specialty materials segment, which offers materials, components and services to a wide range of customers in the semiconductor industry and in adjacent and unrelated industries. Each segment has dedicated manufacturing resources, and is composed of product-focused business units. Each product-focused business segment has its own dedicated marketing and engineering, research and development resources. There follows a detailed description of our three segments:

C ONTAMINATION C ONTROL S OLUTIONS

Liquid Filtration Products . Liquid processing occurs during multiple manufacturing steps including photolithography, deposition, planarization and surface etching and cleaning. The fluids that are used include various mixtures of acids, bases, solvents, slurries and photochemicals, which in turn are used over a broad range of operating conditions, including temperatures from 5 degrees Celsius up to 180 degrees Celsius. The design and performance of our liquid filtration and purification products are critical to the semiconductor manufacturing process because they directly affect the manufacturing yield. Specially designed proprietary filters remove sub-micron sized particles and bubbles from the different fluid streams that are used in the manufacturing process. Some of our filters are constructed with ultra-high molecular weight polyethylene flat sheet membranes that offer improved bubble clearance and gel removal to prevent defects in the wafers that occur if these elements are not removed. Our low hold-up volume disposable filters, with flat sheet membranes, use our Connectology technology to allow filter changes in less than a minute, significantly faster than conventional filters, to reduce the amount of expensive chemicals lost each time a filter is changed and to minimize operator exposure to hazardous solvents and vapors during changeout. In addition to the filtration of particles from fluids, we have also expanded our offerings for chemical purification, which targets the removal of specific molecules from a process chemical, to improve yield in processes such as wet cleaning.

Components and Systems . Chemicals spend most of their time in contact with fluid storage and management distribution systems, so it is critical for fluid storage and handling components to resist these chemicals and avoid contributing contaminants to the fluid stream. We offer chemical delivery products that allow the consistent and safe delivery of sophisticated chemicals from the chemical manufacturer to the point-of-use in the semiconductor fab. Most of these products are made from perfluoroalkoxy or PFA, a fluoropolymer resin widely used in the semiconductor industry because of its high purity and inertness to chemicals. The innovative design and reliable performance of our products under the most stringent of process conditions has made us a leader in high-purity fluid transfer products. Both semiconductor manufacturers and semiconductor OEMs use our chemical delivery products. Our comprehensive product line provides our customers with a single-source provider for their chemical storage and management needs throughout the manufacturing process. Our chemical delivery products include valves, fittings, tubing, pipe, chemical containers, custom fabricated products and associated connection systems for high-purity chemical applications.

Our proprietary photochemical filtration and dispense systems integrate our patented two-stage, filter device and valve control technologies. Our two-stage technology permits the filtering and dispense functions to operate independently so that filtering and dispensing of photochemicals can occur at different rates, reducing the

 

6


Table of Contents

differential pressure across the filter, conserving expensive photochemicals and resulting in reduced defects in wafers. As described above, we offer a line of proprietary filters specifically designed to efficiently connect with these systems. Our patented digital valve control technology improves chemical uniformity on wafers and improves ease of optimized system operation. In addition, our integrated high-precision liquid dispense systems enable uniform application of photoresists for the spin-coating process, where uniformity is measured in units of Angstroms, a tiny fraction of the thickness of a human hair.

We offer a wide variety of measurement and control products for high-purity and corrosive applications. For electronic measurement and control of liquids, we provide a complete line of pressure and flow measurement and control products as well as all-plastic capacitance sensors for leak detection, valve position, chemical level and other measurements. We also offer mechanical gauge pressure measurement products.

CMP Products . In addition to filters for the purification of liquid chemical slurries, we offer a line of consumable PVA roller brush products to clean the wafer following the chemical mechanical planarization process. Our unique Planarcore PVA roller brush is molded on the core to allow easy installation that reduces tool downtime and a dimensionally stable product that provides consistent wafer-to-wafer cleaning performance. In addition, our CMP pad conditioners, based on our silicon carbide capabilities, offer unique preparation solutions for each distinct CMP pad application.

Gas Filtration Products . Our Wafergard ® , ChamberGard™ and Waferpure ® particle and molecular filtration products purify the gas entering the process chamber in order to eliminate system and wafer problems due to particulate, atmospheric and chemical contaminants. These filters are able to retain all particles 0.003 microns and larger. Our metal filters, such as stainless steel and nickel filters, reduce outgassing and improve corrosion resistance. Our Waferpure ® and Aeronex Gatekeeper ® purifiers chemically react with and absorb contaminants, such as oxygen and water, to prevent contamination, and our ChamberGard vent diffusers reduce particle contamination and processing cycle times. We offer a wide variety of gas purification products to meet the stringent requirements of semiconductor processing. Our Aeronex Gas Purification Systems contain dual-resin beds, providing a continuous supply of purified gas without process interruption. These gas purification systems are capable of handling higher flow rates and longer duty cycles than cartridge purifiers. Our product line also includes filter housings and hybrid media chemical air filters which purify air entering tool enclosures and remove airborne molecular contaminants.

M ICROENVIRONMENTS

Our microenvironment products fall into three sub-categories, wafer and reticle handling products, wafer shipping products and data storage products.

Wafer and Reticle Handling Products . We are a global producer of wafer and reticle handling products. We offer a wide variety of products that hold and position wafers as they travel between each piece of equipment used in the automated semiconductor manufacturing process. These specialized carriers provide precise wafer positioning, wafer protection and highly reliable and predictable cassette interfaces in automated fabs. Semiconductor manufacturers rely on our products to improve yields by protecting wafers from abrasion, degradation and contamination during the manufacturing process. We provide standard and customized products that meet a spectrum of industry standards and customers’ wafer handling needs including front opening unified pods or “FOUPs”, wafer transport and process carriers, standard mechanical interface or “SMIF” pods and work-in-process boxes. To meet our customers’ varying wafer processing and transport needs, we offer wafer process carriers in a variety of materials, including advanced polymeric materials, and in sizes ranging from 100 mm through 300 mm. In addition, we offer FOUPs for experimental 450mm wafers.

We are also a global provider of mask and reticle handling products, including reticle SMIF pods for the protection of extremely valuable and contamination-sensitive lithography reticles. Through our Clarilite—branded product offerings, we are providing our customers with leading edge contamination control solutions.

 

7


Table of Contents

Wafer Shipping Products . We are a global provider of critical shipping products that preserve the integrity of raw silicon wafers as they are transported from wafer manufacturers to semiconductor manufacturers or finished wafers shipped to back end processors. We lead the market with our extensive, high-volume line of Ultrapak ® and Crystalpak ® products which are supplied to wafer manufacturers in a full range of sizes covering 100, 125, 150 and 200 mm wafers. We also offer a full-pitch, front-opening shipping box, or FOSB, for the transportation and automated interface of 300 mm wafers. We offer a complete shipping system, including both wafer shipping containers as well as secondary packaging that provides another level of protection for wafers. For experimental 450mm wafers, we offer a Single Wafer Shipper and a Multi-Application Carrier.

We currently offer outsourcing programs for wafer and device transportation and protection for both wafer manufacturing and wafer handling products. Our Wafercare ® and DeviceCare SM services include product cleaning, certified re-use services for shipping products, on-site and off-site product maintenance and optimization, and end-of-life recycling for our wafer, device and disk-handling products. Re-use services can be customized depending on the customer’s needs to provide product cleaning, logistics, recovery, certification and supply solutions for our products.

Data Storage Products . We provide products and solutions to manage two critical sectors in the data storage market: magnetic disks and the read/write heads used to read and write today’s higher density disks. Because both of these hard disk drive components are instrumental in the transition to more powerful storage solutions, we offer products that protect and maintain the integrity of these components during their processing, storage and shipment. Our product offerings for magnetic hard disk drives include process carriers, boxes, packages, tools and shippers for aluminum and other disk substrates. Our optical hard disk drive products include stamper cases, process carriers, boxes and glass master carriers. Our read/write head products include transport trays, carriers, handles, boxes, individual disk substrate packages and accessories.

Rapidly changing packaging strategies for semiconductor applications are creating new materials management challenges for back-end manufacturers. We offer chip and matrix trays as well as carriers for bare die handling and integrated circuits. Our materials management products are compatible with industry standards and available in a wide range of sizes with various feature sets. Our standard trays offer dimensional stability and permanent electrostatic discharge protection. Our trays also offer a number of features including custom designs to minimize die movement and contact; shelves and pedestals to minimize direct die contact, special pocket features to handle various surface finishes to eliminate die sticking; and other features for automated or manual die placement and removal. In addition, we support our product line with a full range of accessories to address specific needs such as static control, cleaning, chip washing and other related requirements.

S PECIALTY M ATERIALS

Our specialty materials products fall into two sub-categories, Poco Graphite Products and Specialty Coating Products. These products all provide high-value materials science enabling solutions in the form of materials, components or services that provide corrosion, high temperature, wear and chemical resistance, electrical and thermal conductivity and biocompatibility to a wide range of customers both within the semiconductor industry and in adjacent and unrelated industries.

Poco Graphite Products . These products are made from specialized graphite or silicon carbide. Our Poco Graphite products sold to the semiconductor industry are used for critical components for semiconductor manufacturing equipment at various stages of the semiconductor manufacturing process including CVD, where our expendable graphite chamber liners and shower heads are critical components used in the CVD chamber; dry or plasma etch, where our consumable graphite components deliver, baffle and confine the process gases during the etch process; and ion implant, where our consumable graphite components are critical elements of ion implantation equipment. In addition, our Poco Graphite high-quality graphite is used to make precision consumable electrodes for electrical discharge machining, a non-contact precision thermoelectric machining process for hard and exotic metals and other materials. Poco Graphite also manufactures a number of graphite hot glass contact materials for use in the manufacture of glass containers. Finally, Poco Graphite manufactures a

 

8


Table of Contents

number of graphite consumable products for various industrial applications including bushings and thrust washers for aerospace applications, substrates for industrial print heads, components for scan heads in industrial optical applications, cathodes for fuel cells and materials to manufacturers of artificial heart valves for human implantation.

Specialty Coating Products . We offer a variety of high-performance specialty coatings for critical components used in semiconductor and other high-technology manufacturing operations. These components, often in highly complex geometries, are coated by means of a proprietary low-temperature, plasma-assisted CVD process to provide corrosion and abrasion resistance and desired conductivity and hydrophobicity properties. We also provide complex assemblies such as electrostatic chucks for ion implant equipment, where our coatings prevent contamination of the process. Our coatings are also used in other high-technology applications such as aerospace optical components.

W ORLDWIDE A PPLICATIONS D EVELOPMENT AND F IELD S UPPORT C APABILITIES

We provide strong technical support to our customers through local service groups and engineers consisting of field applications engineers, technical service groups, applications development groups and training capabilities. Our field applications engineers, located in the United States and approximately ten other countries, work directly with our customers on product qualification and process improvements in their facilities. In addition, in response to customer needs for local technical service and fast turnaround time, we maintain regional applications laboratories. Our applications laboratories maintain process equipment that simulate customers’ applications and industry test standards and provide product evaluation, technical support and complaint resolution for our customers.

O UR C USTOMERS AND M ARKETS

Within the semiconductor market, our major customer groups include integrated circuit device manufacturers, OEMs that provide equipment to integrated circuit device manufacturers, gas and chemical manufacturing companies and manufacturers of high-precision electronics.

Our most significant customers based on sales in fiscal 2012 include leading device makers such as Micron Technology, Inc., Samsung Electronics Co., Ltd., Taiwan Semiconductor Manufacturing Co. Ltd. and United Microelectronics Corporation (UMC), leading OEM companies such as Applied Materials, Inc., ASML Holding N.V. Dainippon Screen Mfg. Co., Ltd. (DNS), Lam Research Corporation and Tokyo Electron Ltd. and leading wafer grower companies such as MEMC Electronic Materials, Inc., Shin-Etsu Chemical Co. Ltd., Siltronic AG and SUMCO Oregon Corp. We also sell our products to flat panel display OEMs, materials suppliers and end users. The major manufacturers for flat panel displays and flat panel display equipment are concentrated in Japan, Korea and other parts of Asia.

In our other high-technology markets, our customers include manufacturers and suppliers in the solar and life science industries and, for our Poco Graphite products, electrical discharge machining customers, glass container manufacturers, aerospace manufacturers and manufacturers of biomedical implantation devices.

In 2012, 2011 and 2010, net sales to our top ten customers accounted for approximately 36%, 29% and 28%, respectively, of our net sales. During those same periods no single customer accounted for more than 10% of our net sales and international net sales represented in excess of 69% of our net sales each year. Over 2,500 customers purchased products from us during 2012.

We may enter into supply agreements with our customers to govern the conduct of our business with our customers, including the manufacture of our products. These agreements generally have a term of one to three years, but do not contain any long-term purchase commitments. Instead, we work closely with our customers to develop non-binding forecasts of the future volume of orders. However, customers may cancel their orders, change production quantities from forecasted volumes or delay production for a number of reasons beyond our control.

 

9


Table of Contents

S ALES AND M ARKETING

We sell our products worldwide, primarily through our direct sales force and strategic distributors located in offices in all major semiconductor markets, as well as through independent distributors elsewhere. As of December 31, 2012, our sales and marketing force consisted of approximately 425 employees worldwide. Our direct sales force is also supplemented by independent distributors, sales representatives and agents.

Our semiconductor marketing efforts focus on our “push/pull” marketing strategy in order to maximize our selling opportunities. We work with OEMs to persuade them to design tools that require our products and we create end-user “pull” demand by persuading semiconductor manufacturers to specify our products. Our industry relationships have provided us with the opportunity for significant collaboration with our customers at the product design stage, which has facilitated our ability to introduce new products and applications that meet our customers’ needs. In addition, we are constantly identifying for our customers the variety of analytical, purification and process control challenges that may be addressed by our products. Further, we adapt our products and technologies to resolve process control issues identified by our customers. Our sales representatives provide our customers with worldwide support and information about our products.

We believe that our technical support services are important to our marketing efforts. These services include assisting in defining a customer’s needs, evaluating alternative products, designing a specific system to perform the desired separation, training users and assisting customers in compliance with relevant government regulations. In addition, we maintain a network of service centers located in the United States and in key international markets to support our products.

C OMPETITION

The market for our products is highly competitive. While price is an important factor, we compete primarily on the basis of the following factors:

 

•        historical customer relationships;

  

•        breadth of product line;

•        technical expertise;

  

•        breadth of geographic presence;

•        product quality and performance;

  

•        advanced manufacturing capabilities; and

•        total cost of ownership;

  

•        after-sales service.

•        customer service and support;

  

We believe that we compete favorably with respect to all of the factors listed above, but we cannot assure you that we will continue to do so. We believe that our key competitive strengths include our broad product line, the low total cost of ownership of our products, our ability to provide our customers with quick order fulfillment and our technical expertise. However, our competitive position varies depending on the market segment and specific product areas within these segments. While we have longstanding relationships with a number of semiconductor and other electronic device manufacturers, we also face significant competition from companies that have longstanding relationships with other semiconductor and electronic device manufacturers and, as a result, have been able to have their products specified by those customers for use in manufacturers’ fabrication facilities. In the markets for our consumable products, we believe that our differentiated membrane and materials management technologies, strong supply chain capabilities that allow us to provide our customers with quick order fulfillment, and technical expertise, which enables us to develop membranes to meet specific customer needs and assist our customers in improving the functionality of our membranes for particular applications, allow us to compete favorably. In these markets our competitors compete against us on the basis of price, as well as alternative membrane technology having different functionality, manufacturing capabilities and breadth of geographic presence.

 

10


Table of Contents

The market for our products is highly fragmented, and we compete with a number of different companies. Our liquid filtration and other contamination control products compete with product offerings from a wide range of companies including both large companies, such as Pall Corporation, as well as small Asian filter manufacturers. Our contamination control components and systems also face worldwide competition from companies such as Saint-Gobain, Parker Hannifin Corp., Gemu Valves, Inc., Integrated Automation, Inc. (CKD) and Tokyo Keiso Co., Ltd. Our gas filtration products compete with companies such as SAES Pure Gas, Inc., Donaldson company, Inc. and Mott Corporation. Our microenvironment product lines face competition largely on a product-by-product basis. We face competition from companies such as Miraial Co. Ltd. (formerly Kakizaki), Dainichi Shoji Co., Inc., Gudeng Precision Industrial Co., Ltd. and Shin-Etsu Polymer Co., Ltd. and from regional suppliers such as e.PAK Resources Pte. Ltd. These companies compete with us primarily in 200 mm and 300 mm applications. Our data storage and finished electronic components products compete with companies such as Illinois Tool Works Inc. (ITW/Camtex), Peak International and 3M Company and from regional suppliers. Our Poco Graphite products compete with products manufactured by companies such as Mersen (France), Tokai Carbon Co., Ltd. (Japan) and Toyo Tanso Co., Ltd. (Japan). Some of our competitors are larger and have greater resources than we do. In some cases, our competitors are smaller than us, but well-established in specific product niches. We believe that none of our competitors competes with us across all of our product offerings and that, within the markets that we serve, we offer a broader line of products, make use of a wider range of process control technologies and address a broader range of applications than any single competitor.

E NGINEERING , R ESEARCH AND D EVELOPMENT

Our aggregate engineering, research and development expenses in 2012, 2011 and 2010 were $50.9 million, $48.0 million and $43.9 million, respectively. As of December 31, 2012, we had approximately 240 employees in engineering, research and development. In addition, we have followed a practice of supplementing our internal research and development efforts by licensing technology from unaffiliated third parties and/or acquiring distribution rights with respect to products incorporating externally owned technologies when we believe it is in our long-term interests to do so.

To meet the global needs of our customers, we have engineering, research and development capabilities in California, Minnesota, Massachusetts, Colorado, Texas, Japan, Korea, Taiwan, France and Malaysia. Our engineering, research and development efforts are directed toward developing and improving our technology platforms for semiconductor and advanced processing applications and identifying and developing products for new applications for which fluid management plays a critical role.

We use sophisticated methodologies to research, develop and characterize our materials and products. Our materials technology laboratories are equipped to analyze the physical, rheological, thermal, chemical and compositional nature of the polymers we use. Our materials lab includes standard and advanced polymer analysis equipment such as inductively coupled plasma mass spectrometry (ICP/MS), inductively coupled plasma atomic emission spectrometry (ICP/AES), fourier transform infrared spectroscopy (FTIR) and automated thermal desorption gas chromatography/mass spectrometry (ATD-GC/MS). This advanced analysis equipment allows us to detect contaminants in materials that could harm the semiconductor manufacturing process to levels as low as parts per billion, and in many cases parts per trillion.

Our capabilities to test and characterize our materials and products are focused on continuously reducing risks and threats to the integrity of the critical materials that our customers use in their manufacturing processes. We expect that technology and product engineering, research and development will continue to represent an important element in our ability to develop and characterize our materials and products.

Key elements of our engineering, research and development expenditures over the past three years have included the development of new product platforms to meet the manufacturing needs for 45, 32, 28 and 20 nanometer and smaller semiconductor devices. Driven by the proliferation of new materials and chemicals in the manufacturing processes and more demanding platforms for contamination control for 300 mm wafers, investments were made

 

11


Table of Contents

for new contamination control products in the area of copper interconnects, deep ultra-violet (DUV) and EUV photolithography, and chemical and gas management technologies for advanced wafer cleans, deposition and etch equipment. Additional investments were made in the area of advanced process control, monitoring and diagnostics capabilities for future generations of semiconductor manufacturing processes, including the development of a manufacturing capability for the production of Single Wafer Carriers, Multi Application Carriers and FOUPS for the next generation 450mm wafers. Our employees also work closely with our customers’ development personnel. These relationships help us identify and define future technical needs on which to focus our engineering, research and development efforts. In addition, we participate in Semiconductor Equipment and Materials International (SEMI), an association of semiconductor equipment suppliers, and leading industry consortia, such as the Interuniversity Microelectronics Centre (IMEC) and Semiconductor Manufacturing Technology (SEMATECH), including its Global 450 Consortium (G450C). For example, we have participated with SEMI to develop specifications and with a major customer to develop wafer handling products for 450mm wafers. We also support research at academic and other institutions targeted at advances in materials science and semiconductor process development.

M ANUFACTURING

Our customers rely on our products to assure the integrity of the critical materials used in their manufacturing processes by providing dimensional precision and stability, purity, cleanliness and consistent performance. Our ability to meet our customers’ expectations, combined with our substantial investments in worldwide manufacturing capacity, position us to respond to the increasing materials integrity management demands of the microelectronics industry and other industries that require similar levels of materials integrity.

To meet our customer needs worldwide, we have established an extensive global manufacturing network with manufacturing and coating facilities in the United States, Japan, Taiwan, France, Malaysia and South Korea. Because we work in an industry where contamination control is paramount, we maintain Class 100 to Class 10,000 cleanrooms for manufacturing and assembly. We believe that our worldwide manufacturing operations and our advanced manufacturing capabilities are important competitive advantages. Our advanced manufacturing capabilities include:

 

   

Injection Molding. Our manufacturing expertise is based on our long experience with injection molding. Using molds produced from computer-aided processes, our manufacturing technicians utilize specialized injection molding equipment and operate within specific protocols and procedures established to consistently produce precision products.

 

   

Extrusion. Extrusion is accomplished through the use of heat and force from a screw to melt solid polymer pellets in a cylinder and then forcing the resulting melt through a die to produce tubing and pipe. We have established contamination-free on-line laser marking and measurement techniques to properly identify products during the extrusion process and ensure consistency in overall dimension and wall thickness. In addition, we use extrusion technology to extrude a polymer mix into flat sheet and hollow fiber membranes.

 

   

Blow Molding. Blow molding consists of the use of heat and force from a screw to melt solid polymer pellets in a cylinder and then forcing the resulting melt through a die to create a hollow tube. The molten tube is clamped in a mold and expanded with pressurized gas until it takes the shape of the mold. We utilize advanced three-layer processing to manufacture premium grade 55 gallon drums, leading to cost savings while simultaneously assuring durability, strength and purity.

 

   

Rotational Molding. Rotational molding is accomplished by the placing of a solid polymer powder in a mold, placing the mold in an oven and rotating the mold on two axes so that the melting polymer coats the entire surface of the mold. This forms a part in the shape of the mold upon cooling. We use rotational molding in manufacturing containers up to 5,000 liters.

 

   

Compression Molding. In compression molding, thermoset polymers are processed. Today, we use this manufacturing process primarily for manufacturing bipolar plates and end-plates for the fuel cell market. We use the same expertise as in injection molding to assure a consistently produced precision product.

 

12


Table of Contents
   

Membrane Casting. We cast membrane by extruding a polymer into flat sheet or hollow fiber format that is passed through a chamber with controlled atmospheric conditions to control the development of voids or pores in the membrane. Once cast, the membrane is subjected to solvent extraction and annealing steps. The various properties of the membranes that we offer are developed during subsequent process steps.

 

   

Cartridge Manufacturing. We fabricate the membrane we manufacture as well as membranes manufactured by others into finished filtration cartridges in a variety of configurations. The fabrication process involves membrane processing into pleated and other configurations around a central core and enclosing it in a framework of end caps and protective screening for use in fabricated cartridge housings. We also manufacture filter cartridges that are integrated into their own housings and incorporate our patented Connectology quick connect technology.

 

   

Specialty Coating Capabilities. We fabricate high performance electrostatic chucks by using highly engineered materials and advance vacuum coatings. We have proprietary low-temperature, plasma-assisted CVD and physical vapor deposition (PVD) processes that deposit coatings on a variety of vacuum compatible materials, including metals, alloys, ceramics, semiconductors and polymers, with superior density, purity and uniformity.

 

   

Graphite Synthesis. We have a differentiated proprietary graphite synthesis process that produces premium graphite with superior strength, uniformity and performance. This synthesis process consists of blending and forming petroleum cokes into “green” billets, baking over an extended period between 800 to 1,100°C, followed by a graphitization process at temperatures between 2,000 to 3,000°C. The graphite produced by this process is sold in bulk, machined into specific components or converted into silicon carbide through controlled exposure to silicon monoxide gas.

 

   

Machining. Machining consists of the use of computer-controlled equipment to create shapes, such as valve bodies and other specific components, out of solid polymer blocks or rods, premium graphite and silicon carbide. Our computerized machining capabilities enable speed and repeatability in volume manufacturing of our machined products, particularly products utilized in chemical delivery applications.

 

   

Assembly. We have established protocols, flow charts, work instructions and quality assurance procedures to assure proper assembly of component parts. The extensive use of robotics throughout our facilities reduces labor costs, diminishes the possibility of contamination and assures process consistency.

 

   

Tool Making. We employ tool development staff in the United States and Malaysia and have tool-making capabilities in Malaysia. Our toolmakers produce the majority of the tools we use throughout the world.

We have made significant investments in systems and equipment to create innovative products and tool designs. Our computer-aided design (CAD) equipment allows us to develop three-dimensional electronic models of desired customer products to guide design and tool-making activities. Our CAD equipment also aids in the rapid prototyping of products.

We also use computer-automated engineering in the context of mold flow analysis. Beginning with a three-dimensional CAD model, mold flow analysis is used to visualize and simulate how our molds will fill. The mold flow analysis techniques cut the time needed to bring a new product to market because of the reduced need for sampling and development. Also, our CAD equipment can create a virtual part with specific geometries, which drives subsequent tool design, tool manufacturing, mold flow analysis and performance simulation.

In conjunction with our three-dimensional product designs, we use finite element analysis software to simulate the application of a variety of forces or pressures to observe what will happen during product use. This analysis helps us anticipate forces that affect our products under various conditions. The program also assists our product designers by measuring anticipated stresses against known material strengths and establishing proper margins of safety.

 

13


Table of Contents

P ATENTS AND O THER I NTELLECTUAL P ROPERTY R IGHTS

We rely on a combination of patent, copyright, trademark and trade secret laws and license agreements to establish and protect our proprietary rights. As of February 8, 2013 our patent portfolio included 256 current U.S. patents, 535 current foreign patents, including counterparts to U.S. filings, 85 pending U.S. patent applications, 26 pending filings under the Patent Cooperation Treaty not yet nationalized and 380 pending foreign patent applications. While we believe that patents may be important for aspects of our business, we believe that our success also depends upon close customer contact, innovation, technological expertise, responsiveness and worldwide distribution. Additionally, while our patented technology may delay or deter a competitor in offering a competing product, we do not believe that our patent portfolio functions as a barrier to entry for any of our competitors. In addition, while we license and will continue to license technology used in the manufacture and distribution of products from third parties, we do not consider any particular license to be material to our business. We also license our technology to third parties from time to time and, in particular, as required for our patented technology to be designated as the standard by SEMI or other standard setting organizations within the semiconductor industry.

We require each of our employees, including our executive officers, to enter into standard agreements pursuant to which the employee agrees to keep confidential all of our proprietary information and to assign to us all inventions made while employed by us.

The patent position of any manufacturer, including us, is subject to uncertainties and may involve complex legal and factual issues. Litigation has in the past and may in the future be necessary to enforce our patents and other intellectual property rights or to defend ourselves against claims of infringement or invalidity. The steps that we have taken in seeking patents and other intellectual property protections may prove inadequate to deter misappropriation of our technology and information. In addition, our competitors may independently develop technologies that are substantially equivalent or superior to our technology.

G OVERNMENTAL R EGULATION

Our operations are subject to federal, state and local regulatory requirements relating to environmental, waste management and health and safety matters, including measures relating to the release, use, storage, treatment, transportation, discharge, disposal and remediation of contaminants, hazardous substances and wastes, as well as practices and procedures applicable to the construction and operation of our plants. There can be no assurance that we will not incur material costs and liabilities or that our past or future operations will not result in exposure to injury or claims of injury by employees or the public. Although some risk of costs and liabilities related to these matters is inherent in our business, as with many similar businesses, we believe that our business is operated in substantial compliance with applicable regulations. However, new, modified or more stringent requirements or enforcement policies could be adopted, which could adversely affect us. While we expect that capital expenditures will be necessary to assure that any new manufacturing facility is in compliance with environmental and health and safety laws, we do not expect these expenditures to be material. Otherwise, we are not presently aware of any facts or circumstances that would cause us to incur significant liabilities in the future related to environmental, health and safety law compliance.

E MPLOYEES

As of December 31, 2012, we had approximately 2,700, full-time employees, as well as approximately 350 temporary and part-time employees. Approximately 240 of our full-time employees work in engineering, research and development and approximately 425 work in sales and marketing. Given the variability of business cycles in the semiconductor industry and the quick response time required by our customers, it is critical that we be able to quickly adjust the size of our production staff to maximize efficiency. Therefore, we use skilled temporary labor as required.

 

14


Table of Contents

None of our employees are represented by a labor union or covered by a collective bargaining agreement other than statutorily mandated programs in certain European countries.

I NFORMATION ABOUT OUR OPERATING SEGMENTS

Our financial reporting segments are Contamination Control Solutions (CCS), Microenvironments (ME), and Specialty Materials (SMD). In 2012, 2011 and 2010 approximately 69%, 71% and 71%, respectively, of our net sales were made to customers outside North America. Industry and geographic segment information is discussed in Note 16 to the Entegris, Inc. Consolidated Financial Statements (the “Financial Statements”) included in response to Item 8 below, which Note is incorporated herein by reference.

O THER I NFORMATION

On July 27, 2005, our Board of Directors adopted a shareholder rights plan (the “Rights Plan”) pursuant to which Entegris declared a dividend on August 8, 2005 to its shareholders of record on that date of one preferred share purchase right (a “Right”) for each share of Entegris common stock owned on August 8, 2005 and authorized the issuance of Rights in connection with future issuances of Entegris common stock. Each Right entitles the holder to purchase one-hundredth of a share of a series of preferred stock at an exercise price of $50, subject to adjustment as provided in the Rights Plan. The Rights Plan is designed to protect Entegris’ shareholders from attempts by others to acquire Entegris on terms or by using tactics that could deny all shareholders the opportunity to realize the full value of their investment. The Rights are attached to the shares of our common stock until certain triggering events specified in the Rights Agreement occur, including, unless approved by our board of directors, an acquisition by a person or group of specified levels of beneficial ownership of our common stock or a tender offer for our common stock. Upon the occurrence of any of these triggering events, the Rights authorize the holders to purchase at the then-current exercise price for the Rights that number of shares of our common stock having a market value equal to twice the exercise price. The Rights are redeemable by us for $0.01 and will expire on August 8, 2015. One of the events that would trigger the Rights is the acquisition, or commencement of a tender offer, by a person (an Acquiring Person, as defined in the shareholder rights plan), other than Entegris or any of our subsidiaries or employee benefit plans, of 15% or more of the outstanding shares of our common stock. An Acquiring Person may not exercise a Right.

Entegris’ products are made from a wide variety of raw materials that are generally available in quantity from alternate sources of supply. However, certain materials included in the Company’s products, such as certain filtration membranes used by our Contamination Control Solutions segment, polymer resins used by our Microenvironments segment and petroleum coke used by our Specialty Materials segment are obtained from a single source or a limited group of suppliers. Although the Company seeks to reduce dependence on these sole and limited source suppliers, the partial or complete loss of these sources could interrupt our manufacturing operations and result in an adverse effect on the Company’s results of operations. Furthermore, a significant increase in the price of one or more of these components could also adversely affect the Company’s results of operations.

O UR H ISTORY

Effective August 6, 2005 Entegris, Inc., a Minnesota corporation, and Mykrolis Corporation, a Delaware corporation, completed a strategic merger of equals transaction, pursuant to which they were each merged into the Company to carry on the combined businesses. We were incorporated in Delaware in March 2005 under the name Eagle DE, Inc. as a wholly owned subsidiary of Entegris Minnesota. Effective August 6, 2005 Entegris Minnesota merged into us in a reincorporation merger of which we were the surviving corporation. Immediately following that merger, Mykrolis merged into us and our name was changed to Entegris, Inc. Our stock is traded on the NASDAQ National Market System under the symbol “ENTG”.

 

15


Table of Contents

Entegris Minnesota was incorporated in June 1999 to effect the business combination of Fluoroware, Inc., which began operating in 1966, and EMPAK, Inc., which began operating in 1980. On July 10, 2000, Entegris Minnesota completed an initial public offering of approximately 19% of the total shares of the Company’s common stock outstanding.

Mykrolis was organized as a Delaware corporation on October 16, 2000 under the name Millipore MicroElectronics, Inc. in connection with the spin-off by Millipore Corporation of its microelectronics business unit. On March 31, 2001, Millipore effected the separation of the Mykrolis business from Millipore’s business by transferring to Mykrolis substantially all of the assets and liabilities associated with its microelectronics business. On August 9, 2001, Mykrolis completed an initial public offering of approximately 18% of the total shares of the Company’s common stock outstanding. On February 27, 2002, Millipore completed the spin-off of Mykrolis by distributing to its stockholders the 82% of the Mykrolis common stock that it held following the Mykrolis initial public offering.

On August 11, 2008, we acquired Poco Graphite, Inc. (Poco Graphite), a privately held company based in Decatur, Texas, which augmented our base of business in the semiconductor industry and expanded our materials science capabilities to include graphite and silicon carbide.

E XECUTIVE O FFICERS OF T HE R EGISTRANT

The following is a list, as of December 31, 2012, of our Executive Officers. All of the Corporate Officers listed below were elected to serve until the first Directors Meeting following the 2013 Annual Stockholders Meeting. All of the Other Executive Officers Listed below were appointed to their current positions by Corporate Officers.

 

Name

  

Age

    

Office

  

First Appointed
To Office*

 
C ORPORATE O FFICERS         

Bertrand Loy

     47       President & Chief Executive Officer      2001   

Gregory B. Graves

     52       Executive Vice President, Chief Financial Officer & Treasurer      2002   

Peter W. Walcott

     66       Senior Vice President , Secretary & General Counsel      2001   

John J. Murphy

     60       Senior Vice President, Human Resources      2005   
O THER E XECUTIVE O FFICERS         

Todd Edlund

     50       Vice President, General Manager, Contamination Control Solutions Division      2007   

Gregory C. Morris

     55       Vice President, Global Sales      2008   

Michael D. Sauer

     47       Vice President, Controller & Chief Accounting Officer      2011   

William Shaner

     45       Vice President, General Manager, Microenvironments Division      2007   

 

* With either the Company or a predecessor company

Bertrand Loy has served as our President and Chief Executive Officer since November 2012. Prior to that, he served as the Executive Vice President and Chief Operating Officer since July 2008. Mr. Loy served as the Executive Vice President and Chief Administrative Officer from the effectiveness of the merger with Mykrolis until July 2008. He served as the Vice President and Chief Financial Officer of Mykrolis from January 2001 until the Merger. Prior to that, Mr. Loy served as the Chief Information Officer of Millipore Corporation from April

 

16


Table of Contents

1999 until December 2000. From 1995 until 1999, he served as the Division Controller for Millipore’s Laboratory Water Division. From 1989 until 1995, Mr. Loy served Sandoz Pharmaceuticals (now Novartis) in a variety of financial, audit and controller positions located in Europe, Central America and Japan. Mr. Loy serves on the board of BTU International, Inc., a publicly held supplier of advanced thermal processing equipment.

Gregory B. Graves has served as our Executive Vice President and Chief Financial Officer since July 2008. Prior to that he served as Senior Vice President and Chief Financial Officer since April 2007. Prior to April 2007, he served as Senior Vice President, Strategic Planning & Business Development since the effectiveness of the merger with Mykrolis. Mr. Graves served as the Chief Business Development Officer of Entegris Minnesota since September 2002 and from September 2003 until August 2004 he also served as Senior Vice President of Finance. Prior to joining Entegris Minnesota, Mr. Graves held positions in investment banking and corporate development, including at U.S. Bancorp Piper Jaffray from June 1998 to August 2002 and at Dain Rauscher from October 1996 to May 1998. Mr. Graves was a director of Therma-Wave, Inc., a public company engaged in the production of process control metrology products from 2005 until it was acquired by KLA Tencor Corporation in mid-2007.

Peter W. Walcott has been our Senior Vice President, Secretary and General Counsel since the effectiveness of the merger with Mykrolis. He served as the Vice President, Secretary and General Counsel of Mykrolis since October 2000. Mr. Walcott served as the Assistant General Counsel of Millipore Corporation from 1981 until March 2001.

John J. Murphy joined us as our Senior Vice President, Human Resources in October of 2005. He served as the Senior Vice President Human Resources of HNTB, an engineering and architectural services firm, from February 2004 until October 2005 and as Corporate Vice President, Human Resources of Cadence Design Systems, Inc. from May of 2000 through October 2003. Prior to that Mr. Murphy held senior human resources positions with Williams Companies L.M. Ericsson Telephone Company and General Electric Company.

Todd Edlund has been Vice President and General Manager of our Contamination Control Solutions Division since December 2007. He served as the Vice President and General Manager of our Liquid Systems Business Unit from 2005 to 2007, and prior to that as Entegris Minnesota’s Vice President of Sales for semiconductor markets from 2003 to 2005. Prior to 2003, Mr. Edlund held a variety of positions with our predecessor companies since 1995.

Gregory C. Morris has been Vice President, General Manager, Global Field Operations since 2008. Prior to that time, Mr. Morris was our North American Regional Sales Director since 2007, and the head of our Finished Electronics Products group from 2005 until 2007. Mr. Morris was President of the Entegris Minnesota Data Storage Business Unit from 2003-2005. From 2000 to 2003 Mr. Morris acted as General Manager of a wholly-owned subsidiary of Entegris Minnesota. Prior to 2000, Mr. Morris held a variety of positions with our predecessor companies since 1992.

Michael D. Sauer has been our Vice President, Controller and Chief Accounting Officer since June 2012. Prior to that time, he served as the Corporate Controller since 2008. From the effectiveness of the merger with Mykrolis until April 2008, Mr. Sauer served as Director of Treasury and Risk Management. Mr. Sauer joined Fluoroware, Inc., a predecessor to Entegris Minnesota in 1988 and held a variety of finance and accounting positions until 2001 when he became the Director of Business Development for Entegris Minnesota, the successor to Fluoroware, serving in that position until the merger with Mykrolis.

William Shaner has been our Vice President and General Manager, Microenvironments Division since 2007. He has served in a variety of sales, marketing, business development and engineering roles since joining Entegris in 1995.

 

17


Table of Contents

Item 1A. Risk Factors.

Risks Relating to our Business and Industry

The semiconductor industry has historically been highly cyclical, and industry downturns reduce net sales and profits.

Our business depends on the purchasing patterns of semiconductor manufacturers, which, in turn, depend on the current and anticipated demand for semiconductors and products utilizing semiconductors. The semiconductor industry has historically been highly cyclical with periodic significant downturns, which often have resulted in significantly decreased expenditures by semiconductor manufacturers. Even moderate cyclicality can cause our operating results to fluctuate significantly from one period to the next. We experienced significant revenue deterioration and incurred significant operating losses due to a severe downturn in both the capital and unit-driven segments of the semiconductor industry that began during the second half of 2008. We are unable to predict the ultimate duration and severity of future downturns for the semiconductor industry.

Furthermore, in periods of reduced demand, we must continue to maintain a satisfactory level of engineering, research and development expenditures and continue to invest in our infrastructure. At the same time, we have to manage our operations to be able to respond to any significant increases in demand, if they occur. In addition, because we typically do not have significant backlog, changes in order patterns have a more immediate impact on our revenues. We expect the semiconductor industry to continue to be cyclical. During downturns our revenue is reduced, and there is likely to be an increase in pricing pressure and shifts in product and customer mix, all of which may affect gross margin and net income. Such fluctuations in our results could cause our stock price to decline significantly. We believe that period-to-period comparisons of our results of operations may not be meaningful, and you should not rely upon them as indicators of our future performance.

The semiconductor industry is subject to rapid demand shifts, which are difficult to predict. As a result, our inability to meet demand in response to these rapid shifts may cause a reduction in our market share.

Our ability to increase sales of our products, particularly our capital equipment products, depends in part upon our ability to ramp up the use of our manufacturing capacity for such products in a timely manner and to mobilize our supply chain. In order to meet the demands of our customers, we may be required to ramp up our manufacturing capacity in as little as a few months. If we are unable to expand our manufacturing capacity on a timely basis or manage such expansion effectively, our customers could seek such products from other suppliers, and our market share could be reduced. Because demand shifts in the semiconductor industry are rapid and difficult to foresee, we may not be able to increase capacity quickly enough to respond to any such increase in demand.

We may not be able to accurately forecast demand for our products.

We typically operate our business on a just-in-time shipment basis with a modest level of backlog and we order supplies and plan production based on internal forecasts of demand. Due to these factors, we have, in the past, and may again in the future, fail to accurately forecast demand for our products, in terms of both volume and specific products for which there will be demand. This has led to, and may in the future lead to, delays in product shipments, disappointment of customer expectations, or, alternatively, an increased risk of excess inventory and of inventory obsolescence. If we fail to accurately forecast demand for our products, our business, financial condition and operating results could be materially and adversely affected.

Semiconductor industry up-cycles may not reach historic levels and instead may reflect a lower rate of long-term growth.

There may not be new high-opportunity applications to drive growth in the semiconductor industry, as was the case in earlier market cycles. Accordingly, the semiconductor industry may experience lower growth rates during

 

18


Table of Contents

any recovery cycle than has historically been the case and its longer-term performance may reflect this lower growth rate. We are unable to predict the duration or ultimate severity of any downturn or the growth rate of any recovery cycle that may follow.

If we are unable to maintain our technological expertise in design and manufacturing processes, we will not be able to successfully compete.

The microelectronics industry is subject to rapid technological change, changing customer requirements and frequent new product introductions. Because of this, the life cycle of our products is difficult to determine. We believe that our future success will depend upon our ability to develop and provide products that meet the changing needs of our customers, including the shrinking of integrated circuit line-widths and the use of new classes of materials, such as copper, titanium nitride and organic and inorganic dielectric materials, which are materials that have either a low or high resistance to the flow of electricity. This requires that we successfully anticipate and respond to technological changes in manufacturing processes in a cost-effective and timely manner. Any inability to develop the technical specifications for any of our new products or enhancements to our existing products or to manufacture and ship these products or enhancements in volume in a timely manner could harm our business prospects and significantly reduce our sales. In addition, if new products have reliability or quality problems, we may experience reduced orders, higher manufacturing costs, delays in acceptance and payment, additional service and warranty expense, and damage to our reputation.

Our sales are somewhat concentrated on a small number of key customers and, therefore, our net sales and profitability may materially decline if one or more of our key customers does not continue to purchase our existing and new products in significant quantities.

We depend and expect to continue to depend on a limited number of customers for a large portion of our business, and changes in several customers’ orders could have a significant impact on our operating results. Our top ten customers accounted for 36%, 29% and 28%, of our net sales in 2012, 2011 and 2010, respectively. If any one of our key customers decides to purchase significantly less from us or to terminate its relationship with us, our net sales and profitability may decline significantly. We could also lose our key customers or significant sales to our key customers because of factors beyond our control, such as a significant disruption in our customers’ businesses generally or in a specific product line. These customers may stop incorporating our products into their products with limited notice to us and suffer little or no penalty for doing so. In addition, if any of our customers merge or are acquired, we may experience lower overall sales from the merged or surviving companies. Because one of our strategies has been to develop long-term relationships with key customers in the product areas in which we focus, and because we have a long product design and development cycle for most of our products and prospective customers typically require lengthy product qualification periods prior to placing volume orders, we may be unable to replace these customers quickly or at all.

We are subject to order and shipment uncertainties and many of our costs are fixed, and, therefore, any significant changes, cancellations or deferrals of orders or shipments could cause our net sales and profitability to decline or fluctuate.

We do not usually obtain long-term purchase orders or commitments from our customers. Instead, we work closely with our customers to develop non-binding forecasts of the future volume of orders. Customers may cancel their orders, change production quantities from forecasted volumes or delay production for reasons beyond our control. Order cancellations or deferrals could cause us to hold inventory for longer than anticipated, which could reduce our profitability, restrict our ability to fund our operations and cause us to incur unanticipated reductions or delays in our revenue. Our customers often change their orders multiple times between initial order and delivery. Such changes usually relate to quantities or delivery dates, but sometimes relate to the specifications of the products we are supplying. If a customer does not pay for these products, we could incur significant charges against our income. In addition, our profitability may be affected by the generally fixed nature of our costs. Because a substantial portion of our costs is fixed, we may experience deterioration in gross margins when volumes decline.

 

19


Table of Contents

Competition from existing or new companies in the microelectronics industry could cause us to experience downward pressure on prices, fewer customer orders, reduced margins, the inability to take advantage of new business opportunities and the loss of market share.

We operate in a highly competitive industry. We compete against many domestic and foreign companies that have substantially greater manufacturing, financial, research and development and marketing resources than we do. In addition, some of our competitors may have more developed relationships with our existing customers than we do, which may enable them to have their products specified for use more frequently by these customers. We also face competition from the manufacturing operations of our current and potential customers, who continually evaluate the benefits of internal manufacturing versus outsourcing. As more OEMs dispose of their manufacturing operations and increase the outsourcing of their products to liquid and gas delivery system and other component companies, we may face increasing competitive pressures to grow our business in order to maintain our market share. If we are unable to maintain our competitive position, we could experience downward pressure on prices, fewer customer orders, reduced margins, the inability to take advantage of new business opportunities and a loss of market share. Further, we expect that existing and new competitors will improve the design of their existing products and will introduce new products with enhanced performance characteristics. The introduction of new products or more efficient production of existing products by our competitors could diminish our market share and increase pricing pressure on our products. Further, customers continue to demand lower prices, shorter delivery times and enhanced product capability. If we do not respond adequately to such pressures, we could lose customers or orders. If we are unable to compete successfully, we could experience pricing pressures, reduced gross margins and order cancellation, which could have a material adverse effect on our results of operations.

The limited market acceptance of our 300 mm shipper products as well as our other products could continue to harm our operating results.

The broad adoption of 300 mm wafers has contributed to the increasing complexity of the semiconductor manufacturing process. The greater diameter of these wafers requires higher tooling costs and presents more complex handling, storage and transportation challenges. We have made substantial investments in our 300 mm wafer shipping products, but there is no guarantee that our customers will adopt our 300 mm wafer shipping product lines. Sales of our shipping products for these applications has to date been and could continue in the future to be modest, and we might not recover our development costs.

Semiconductor and other electronic device manufacturers may direct semiconductor capital equipment manufacturers to use a specified supplier’s product in their equipment. Accordingly, our success depends in part on our ability to have semiconductor and other electronic device manufacturers specify that our products be used at their fabrication facilities. Some of our competitors may have more developed relationships with semiconductor and other electronic device manufacturers, which enable them to have their products specified for use in manufacturers’ fabrication facilities.

From time to time, we make capital investments in anticipation of future business opportunities; if we are unable to obtain the anticipated business, our revenue and profitability may decline.

In the semiconductor market, the first company to introduce an innovative product meeting an identified customer need often will have a significant advantage over offerings of competitive products. For this reason we may make significant capital investments in technology and manufacturing capacity in advance of future business developing and without any commitment from our customers to purchase products manufactured as a result of these investments. For example, we have made significant capital investments to develop the capability to manufacture shippers and FOUPS for 450mm wafers; the size and timing of the development of the market for 450mm wafer shippers and FOUPS remains uncertain, so we cannot assure you that we will be able to successfully sell significant quantities of our 450mm shipper and FOUP products or realize a return on our investment. If we are unable to achieve broad market acceptance for these products or if a competitive product is preferred by our customers, we may not be able to recoup our investment, we may lose market share and our revenue and profitability may decline.

 

20


Table of Contents

We may acquire other businesses, form joint ventures or divest businesses that could negatively affect our profitability, require us to incur debt and dilute your ownership of our company.

As part of our business strategy, we have, and we expect to continue to address gaps in our product offerings, diversify into complementary product markets or pursue additional technology and customers through acquisitions, joint ventures or other types of collaborations. We also expect to adjust our portfolio of businesses to meet our ongoing strategic objectives. As a result, we may enter markets in which we have no or limited prior experience and may encounter difficulties in divesting businesses that no longer meet our objectives. Competition for acquiring attractive businesses in our industry is substantial. In executing this part of our business strategy, we may experience difficulty in identifying suitable acquisition candidates or in completing selected transactions at appropriate valuations. Alternatively, we may be required to undertake multiple transactions at the same time in order to take advantage of acquisition opportunities that do arise; this could strain our ability to effectively execute and integrate these transactions. We would consider a variety of financing alternatives for each acquisition which could include borrowing funds, reducing our cash balances or issuing additional shares of our common stock to complete an acquisition. This could impair our liquidity and dilute your ownership of our Company. Further, we may not be able to successfully integrate any acquisitions that we do make into our existing business operations, and we could assume unknown or contingent liabilities or experience negative effects on our reported results of operations from dilutive results from operations and/or from future potential impairment of acquired assets, including goodwill, related to future acquisitions. We may experience difficulties in operating in foreign countries or over significant geographical distances and in retaining key employees or customers of an acquired business, and our management’s attention could be diverted from other business issues. We may not identify or complete these transactions in a timely manner, on a cost-effective basis or at all, and we may not realize the benefits of any acquisition or joint venture.

We may not effectively penetrate new markets.

Part of our business strategy is to leverage our expertise in our core competencies for growth in new and adjacent markets, such as photovoltaic cells, LEDs, flat panel displays, lithium ion batteries and magnetic storage devices. Our ability to grow our business could be limited if we are unable to execute on this strategy.

Manufacturing Risks

Our dependence on single and limited source suppliers could affect our ability to manufacture our products.

We rely on single or limited source suppliers for some plastic polymers, filtration membranes and petroleum coke that are critical to the manufacturing of our products. At times, we have experienced a limited supply of certain polymers as well as the need to substitute polymers, resulting in delays, increased costs and the risks associated with qualifying new polymers with our customers. An industry-wide increase in demand for these polymers could affect the ability of our suppliers to provide sufficient quantities to us. If we are unable to obtain an adequate quantity of such supplies, our manufacturing operations may be interrupted.

In addition, suppliers may discontinue production of polymers specified in certain of our products, requiring us in some instances to certify an alternative source with our customers. If we are unable to obtain an adequate quantity of such supplies for any reason, our manufacturing operations may be adversely affected. Obtaining alternative sources would likely result in increased costs and shipping delays, which could decrease profitability and damage our relationships with current and potential customers.

Prices for polymers can vary widely. In the volatile oil price environment, some suppliers have added and may in the future add surcharges to the prices of the polymers we purchase. While we have long-term arrangements with certain key suppliers of polymers that fix our price for purchases up to specified quantities, if our polymer requirements exceed the quantities specified, we could be exposed to higher material costs. If the cost of polymers increases and we are unable to correspondingly increase the sales price of our products, our profit margins will decline.

 

21


Table of Contents

Our filtration products incorporate a wide variety of filter membranes designed to meet specific customer filtration needs, not all of which are produced internally. In the event that a manufacturer of outsourced membrane discontinues supply or production, we may be required to identify and qualify an alternative filter membrane for that application to incorporate into our products. This could require extensive lead times and increased costs which may cause us to lose sales and cause our profit margins to decline.

Our graphite synthesis process requires petroleum coke that meets specified criteria. While there are multiple suppliers for this petroleum coke, the sources are limited and our required criteria may cause the price of this petroleum coke to increase.

Our production processes are becoming increasingly complex, and our production could be disrupted if we are unable to avoid manufacturing difficulties.

Our manufacturing processes are complex and require the use of expensive and technologically sophisticated equipment and materials. These processes are frequently modified to improve manufacturing yields and product quality. We have, on occasion, experienced manufacturing difficulties, such as temporary shortages of raw materials and occasional critical equipment breakdowns that have delayed deliveries to customers. A number of our product lines are manufactured at only one or two facilities, and any disruption could impact our sales until another facility could commence or expand production of such products.

Our manufacturing operations are subject to numerous risks, including the introduction of impurities in the manufacturing process and other manufacturing difficulties that may not be well understood for an extended period of time and that could lower manufacturing yields and make our products unmarketable; the costs and demands of managing and coordinating geographically diverse manufacturing facilities; and the disruption of production in one or more facilities as a result of a slowdown or shutdown in another facility. We could experience these or other manufacturing difficulties, which might result in a loss of customers and exposure to warranty and product liability claims.

Third-party membrane suppliers may disrupt our ability to manufacture products to meet our customer needs.

Certain of our membrane products rely on membranes manufactured by third parties. In the event that these membranes are no longer available or cost-effective and we are unable to acquire an alternative source, our ability to manufacture these products may be disrupted and our profits may decline.

Our membrane manufacturing operations may be disrupted if we are unable to successfully transition manufacturing to our own facility.

The Fourth Amended and Restated Membrane Manufacturing Agreement (the “Membrane Agreement”) between us and Millipore Corporation, dated January 10, 2011, provides that our lease of space in Millipore’s Bedford, Massachusetts facility and our right to use certain manufacturing equipment owned by Millipore expires on March 31, 2014. While we have purchased a building in Bedford, MA to house these membrane manufacturing operations, outfitting of this new building to become a functioning membrane manufacturing plant will require significant lead time and capital investment. In addition, the transition of membrane manufacturing operations to this new facility, which will also consolidate certain other existing operations in Massachusetts will be complex and time consuming. In addition, our current membrane manufacturing is operating at capacity. Consequently, delays in completion of our new membrane manufacturing facility, construction of the equipment to be used therein or obtaining necessary utilities or a failure to execute the transition of our membrane manufacturing operations effectively and expeditiously might disrupt our manufacture of membrane, exacerbate our capacity constraints and result in a loss of customers or exposure to warranty and product liability claims.

 

22


Table of Contents

We may lose sales if we are unable to timely procure, repair or replace capital equipment necessary to manufacture many of our products.

If our existing equipment fails, or we are unable to obtain new equipment quickly enough to satisfy any increased demand for our products, we may lose sales to competitors. In particular, we do not maintain duplicate tools or equipment for most of our important products. Fixing or replacing complex tools is time consuming, and we may not be able to replace a damaged tool in time to meet customer requirements. In addition, from time to time we may upgrade or add new manufacturing equipment that may require substantial lead times to build and qualify. Delays in building and qualifying new equipment could result in a disruption of our manufacturing processes and prevent us from meeting our customers’ requirements so that they would seek other suppliers.

We incur significant cash outlays over long-term periods in order to research, develop, manufacture and market new products that may never reach market or may have limited market acceptance.

We make significant cash expenditures to engineer, research, develop and market new products. For example, we incurred $50.9 million, $48.0 million and $43.9 million of engineering, research and development expense in 2012, 2011 and 2010, respectively. The development period for a product can be very long. Following development, it may take a number of years for sales of that product to reach a substantial level, if ever. We cannot be certain of the success of a new product. A product concept may never progress beyond the development stage or may only achieve limited acceptance in the marketplace. If this occurs, we do not receive a direct return on our expenditures and may not even realize any indirect benefits. Additionally, capacity expansion may be necessary in order to manufacture a new product. If sales levels do not increase to offset the additional fixed operating expenses associated with any such expansion, our profitability could decline and our prospects could be harmed.

We are subject to a variety of environmental laws that could cause us to incur significant expenses.

In addition to other regulatory requirements affecting our business, we are subject to a variety of federal, state, local and non-U.S. regulatory requirements relating to the use, disposal, clean-up of, and human exposure to, hazardous chemicals. We generate and handle materials that are considered hazardous waste under applicable law. Certain of our manufacturing operations require the discharge of substantial quantities of wastewater into publicly owned waste treatment works which require us to assure that our wastewater complies with volume and content limitations. If we fail to comply with any present or future regulations, we could be subject to future liabilities or the suspension of production. In addition, compliance with these or future laws could restrict our ability to expand our facilities or to build or acquire new facilities or may require us to acquire costly equipment, incur other significant expenses, such as remediation of contamination found on any site that we may acquire, or modify our manufacturing processes.

We are continually evaluating our manufacturing operations within our plants in order to achieve efficiencies and gross margin improvements. If we are unable to successfully manage transfers or realignments of our manufacturing operations, our ability to deliver products to our customers could be disrupted and our business, financial condition and results of operations could be adversely affected.

In order to enhance the efficiency and cost effectiveness of our manufacturing operations, we have in the past and may in the future move several product lines from one of our plants to another and to consolidate manufacturing operations in certain of our plants. Our product lines involve technically complex manufacturing processes that require considerable expertise to operate. If we are unable to establish stable processes to efficiently and effectively produce high quality products in relocated manufacturing processes in the destination plant, production may be disrupted and we may not be able to deliver these products to meet customer orders in a timely manner, which may cause us to lose credibility with our customers and harm our business. There can be no assurance that these complex manufacturing processes can be stabilized and that the cost savings that we anticipate will be achieved.

 

23


Table of Contents

Loss of our key personnel could harm our business because of their experience in the microelectronics industry and their technological expertise. Similarly, our inability to attract and retain new qualified personnel could inhibit our ability to operate and grow our business successfully.

We depend on the services of our key senior executives and technological experts because of their experience in the microelectronics industry and their technical expertise. The loss of the services of one or several of our key employees or an inability to attract, train and retain qualified and skilled employees, specifically research and development and engineering personnel, could result in the loss of customers or otherwise inhibit our ability to operate and grow our business successfully. In the past and currently, during downturns in the semiconductor industry our predecessor companies have, and we have, had to impose salary reductions on senior employees and freeze or eliminate merit increases in an effort to maintain our financial position. These actions may have an adverse effect on employee loyalty and may make it more difficult for us to attract and retain key personnel.

We face the risk of product liability claims.

The manufacture and sale of our products involve the risk of product liability claims. In addition, a failure of one of our products at a customer site could interrupt the business operations of the customer. Our existing insurance coverage limits may not be adequate to protect us from all liabilities that we might incur in connection with the manufacture and sale of our products if a successful product liability claim or series of product liability claims were brought against us.

If we are unable to protect our intellectual property rights, our business and prospects could be harmed.

Our future success and competitive position depend in part upon our ability to obtain and maintain proprietary technology used in our principal product families. We rely, in part, on patent, trade secret and trademark law to protect that technology. We routinely enter into confidentiality agreements with our employees. However, there can be no assurance that these agreements will not be breached, that we will have adequate remedies for any breach or that our confidential and proprietary information and technology will not be independently developed by or become otherwise known to third parties. We have obtained a number of patents relating to our products and have filed applications for additional patents. We cannot assure you that any of our pending patent applications will be approved, that we will develop additional proprietary technology that is patentable, that any patents owned by or issued to us will provide us with competitive advantages or that these patents will not be challenged by third parties. Patent filings by third parties, whether made before or after the date of our filings, could render our intellectual property less valuable. Competitors may misappropriate our intellectual property, and disputes as to ownership of intellectual property may arise. In addition, if we do not obtain sufficient international protection for our intellectual property, our competitiveness in international markets could be significantly impaired, which would limit our growth and future revenue. Furthermore, there can be no assurance that third parties will not design around our patents.

Protection of our intellectual property rights has in the past resulted and may continue to result in costly litigation.

We may from time to time be required to institute litigation in order to enforce our patents, copyrights or other intellectual property rights, to protect our trade secrets, to determine the validity and scope of the proprietary rights of others or to defend against claims of infringement. Such litigation could result in substantial costs and diversion of resources and could negatively affect our sales, profitability and prospects regardless of whether we are able to successfully enforce our rights. For example, in January of 2011 we settled multiple patent litigations with Pall Corporation. We prosecuted and defended these cases vigorously and incurred substantial costs in pursuing them. It may become necessary for us to initiate other costly patent litigation against this or other competitors in order to protect and/or perfect our intellectual property rights. We cannot predict how any existing or future litigation will be resolved or what their impact will be on us.

 

24


Table of Contents

If we infringe on the proprietary technology of others, our business and prospects could be harmed.

Our commercial success will depend, in part, on our ability to avoid infringing or misappropriating any patents or other proprietary rights owned by third parties. If we are found to infringe or misappropriate a third party’s patent or other proprietary rights, we could be required to pay damages to such third party, alter our products or processes, obtain a license from the third party or cease activities utilizing such proprietary rights, including making or selling products utilizing such proprietary rights. If we are required to obtain a license from a third party, there can be no assurance that we will be able to do so on commercially favorable terms, if at all.

International Risks

We conduct a significant amount of our sales activity and manufacturing efforts outside the United States, which subjects us to additional business risks and may cause our profitability to decline due to increased costs.

Sales to customers outside the United States accounted for approximately 69%, 71% and 71%, respectively, of our net sales in 2012, 2011 and 2010. We anticipate that international sales will continue to account for a majority of our net sales. In addition, a number of our key domestic customers derive a significant portion of their revenues from sales in international markets. We also manufacture a significant portion of our products outside the United States and are dependent on international suppliers for many of our parts. We intend to continue to pursue opportunities in both sales and manufacturing internationally. Our international operations are subject to a number of risks and potential costs that could adversely affect our revenue and profitability, including:

 

  unexpected changes in regulatory requirements that could impose additional costs on our operations or limit our ability to operate our business;

 

  greater difficulty in collecting our accounts receivable and longer payment cycles than are typical in domestic operations;

 

  changes in labor conditions and difficulties in staffing and managing foreign operations;

 

  expense and complexity of complying with U.S. and foreign import and export regulations;

 

  liability for foreign taxes assessed at rates higher than those applicable to our domestic operations; and

 

  political and economic instability.

In the past, we have incurred costs or experienced disruptions due to the factors described above and expect to do so in the future. For example, our operations in Asia, and particularly South Korea, Taiwan and Japan, have been negatively impacted in the past as a result of regional economic instability. In addition, Taiwan and South Korea account for a growing portion of the world’s semiconductor manufacturing. There have historically been strained relations between China and Taiwan and there are continuing tensions between North Korea and South Korea and the United States. Any adverse developments in those relations could significantly disrupt the worldwide production of semiconductors, which may lead to reduced sales of our products. Furthermore, we incur additional legal compliance costs associated with our international operations and could become subject to legal penalties in foreign countries if we do not comply with local laws and regulations, which may be substantially different from those in the United States. In a number of foreign countries, some companies engage in business practices that are prohibited by U.S. law applicable to us such as the Foreign Corrupt Practices Act. Although we implement policies and procedures designed to ensure compliance with these laws, there can be no assurance that all of our employees, contractors and agents, as well as those companies to which we outsource certain of our business operations, including those based in countries where practices that violate such U.S. laws may be customary or common, will not take actions in violation of our policies. Any such violation, even if prohibited by our policies, could have an adverse effect on our business and results of operations.

 

25


Table of Contents

We will lose sales if we are unable to obtain government authorization to export certain of our products, and we would be subject to legal and regulatory consequences if we do not comply with applicable export control laws and regulations.

Exports of certain of our products are subject to export controls imposed by the U.S. Government and administered by the U.S. Departments of State and Commerce. In certain instances, these regulations may require pre-shipment authorization from the administering department. For products subject to the Export Administration Regulations (EAR) administered by the Department of Commerce’s Bureau of Industry and Security, the requirement for a license is dependent on the type and end use of the product, the final destination, the identity of the end user and whether a license exception might apply. Virtually all exports of products subject to the International Traffic in Arms Regulations (ITAR) administered by the Department of State’s Directorate of Defense Trade Controls, require a license. Certain of our products are subject to EAR and ITAR. Products developed and manufactured in our foreign locations are subject to export controls of the applicable foreign nation.

Given the current global political climate, obtaining export licenses can be difficult and time-consuming. Failure to obtain export licenses for these shipments could significantly reduce our revenue and materially and adversely affect our business, financial condition and results of operations. Compliance with U.S. Government regulations may also subject us to additional fees and costs. The absence of comparable restrictions on competitors in other countries may adversely affect our competitive position.

Our results of operations could be adversely affected by changes in taxation.

We have facilities in foreign countries and, as a result, are subject to taxation and audit by a number of taxing authorities. Tax rates vary among the jurisdictions in which we operate. Our results of operations could be affected by market opportunities or decisions we make that cause us to increase or decrease operations in one or more countries, or by changes in applicable tax rates or audits by the taxing authorities in countries in which we operate. In addition, we are subject to laws and regulations in various locations that govern the determination of which is the appropriate jurisdiction to decide when and how much profit has been earned and is subject to taxation in that jurisdiction. Changes in these laws and regulations could affect the locations where we are deemed to earn income, which could in turn affect our results of operations. We have deferred tax assets on our balance sheet. Changes in applicable tax laws and regulations could affect our ability to realize those deferred tax assets, which could also affect our results of operations. Each quarter we forecast our tax liability based on our forecast of our performance for the year. If that performance forecast changes, our forecasted tax liability may change.

From time to time we may undertake internal reorganizations of our foreign subsidiaries in order to rationalize and streamline our foreign operations, focus our management efforts on certain local opportunities and to take advantage of favorable business conditions in certain localities. While we exercise diligence in undertaking these internal reorganizations, there can be no assurance that these reorganizations will not result in adverse tax consequences in certain foreign countries in which we have operations. This could adversely impact our profitability from foreign operations and result in a material reduction in our results of operations.

Fluctuations in the value of the U.S. dollar in relation to other currencies may lead to lower net income and shareholders’ equity or may cause us to raise prices, which could result in reduced net sales.

Foreign currency exchange rate fluctuations could have an adverse effect on our net sales, results of operations and shareholders’ equity. Foreign currency fluctuations against the U.S. dollar could require us to increase prices to foreign customers, which could result in lower net sales by us to such customers. Alternatively, if we do not adjust the prices for our products in response to foreign currency fluctuations, our profitability could decline. In addition, sales made by our foreign subsidiaries are generally denominated in the currency of the country in which these products are sold, and the currency we receive in payment for such sales could be less valuable at the time of receipt versus the time of sale as a result of foreign currency exchange rate fluctuations.

 

26


Table of Contents

We may be subject to increased import duties as we seek to source more of the materials from which our products are made from foreign countries.

In an effort to reduce the cost of our products or to obtain the highest quality materials, we expect that our purchases of raw materials and components from foreign countries will increase. Those of our products manufactured in the United States or other countries from these materials and components may consequently be burdened by import duties imposed by the United States or those other countries, and these additional costs may be substantial and may put our products at a competitive disadvantage.

Volatility in the global economy could adversely affect results.

Financial markets in the United States, Europe and Asia have been experiencing extreme disruption in recent years, including, among other things, volatility in securities prices, severely diminished liquidity and credit availability, rating downgrades of sovereign debt and declining valuation of certain investments, declines in consumer confidence, declines in economic growth, volatility in unemployment rates, and uncertainty about economic stability. During 2008 and 2009, these conditions had a significant adverse impact on our industry and financial condition and results of operations. There may be further changes in the global economy, which could lead to further challenges in our business and negatively impact our financial results. Tightness of credit in financial markets could adversely affect the ability of our customers and suppliers to obtain financing for significant purchases and operations and could result in a decrease in orders and spending for our products and services. We are unable to predict the likely duration and severity of the recent disruption in European or global financial markets and adverse economic conditions and the effects they may have on our business and financial condition. If uncertain economic conditions continue or further deteriorate, our business and results of operations could be further materially and adversely affected.

An increased concentration of wafer manufacturing in Japan could result in lower sales of our wafer shipper products.

A large percentage of the world’s 300 mm raw silicon wafer manufacturing currently takes place in Japan. Our market share in Japan is currently lower than in other regions we serve. Further, we expect that a large percentage of 450 mm raw silicon wafer manufacturing will, in the future, take place in Japan. If we are unable to persuade these wafer suppliers to use our new 450 mm shippers, we may not be able to achieve a significant market share and may not be able to benefit from our investment in 450 mm shipper manufacturing capacity.

Terrorist attacks, such as the attacks that occurred in New York and Washington, D.C. on September 11, 2001, and other acts of violence or war or natural catastrophes such as the March 2011 earthquake and tsunami in Japan may affect the markets in which we operate and hurt our profitability.

Terrorist attacks may negatively affect our operations and any security we issue. There can be no assurance that there will not be future terrorist attacks against the United States or U.S. businesses. These attacks or other armed conflicts may directly impact our physical facilities or those of our suppliers or customers. Our primary facilities include headquarters, research and development and manufacturing facilities in the United States; sales, research and development and manufacturing facilities in Japan, South Korea, Taiwan and Malaysia; and sales and service facilities in Europe and Asia. Attacks may also disrupt the global insurance and reinsurance industries with the result that we may not be able to obtain insurance at historical terms and levels for our facilities. Furthermore, such attacks may make travel and the transportation of our supplies and products more difficult and more expensive and may ultimately affect the sales of our products in the United States and overseas. As a result of terrorism, the United States may enter into additional armed conflicts, which could have a further impact on our domestic and international sales, our supply chain, our production capacity and our ability to deliver products to our customers. The consequences of these armed conflicts and the associated instability are unpredictable, and we may not be able to foresee events that could have an adverse effect on our business and any security we issue.

 

27


Table of Contents

While the March 2011 earthquake and tsunami in Japan did not materially impair manufacturing operations at our Yonezawa, Japan plant and while the June 2012 wildfires in Colorado Springs, CO did not materially impair manufacturing operations at our Colorado Springs plant, there can be no assurance that future such catastrophes will not impact our manufacturing operations or those of our supply chain partners by disrupting our ability to manufacture and deliver products to our customers, resulting in an adverse impact on our business and results of operations.

Risks Related to Owning our Securities

The price of our common stock has been volatile in the past and may be volatile in the future.

The price of our common stock has been volatile in the past and may be volatile in the future. While in 2012 the closing price of our stock on The NASDAQ Global Select Market (“NASDAQ”) ranged from a low of $7.48 to a high of $9.90, in 2011 and 2010 the price of our common stock showed much greater volatility: in 2011 the closing price of our stock on NASDAQ ranged from a low of $6.11 to a high of $10.44 and in 2010 the closing price of our stock on NASDAQ ranged from a low of $3.64 to a high of $7.70.

The trading price of our common stock is subject to significant volatility in response to various factors, some of which are beyond our control, including the following: the failure to meet the published expectations of securities analysts; changes in financial estimates by securities analysts; press releases or announcements by, or changes in market values of, comparable companies; volatility in the markets for high-technology stocks, general stock market price and volume fluctuations, which are particularly common among securities of high-technology companies; stock market price and volume fluctuations attributable to inconsistent trading volume levels; the cyclicality of the semiconductor industry and current industry downturn; our performance; our ability to repay when due any debt obligations we may incur in the future; our ability to respond to rapid shifts in demand; our ability to compete effectively; loss of key customers or decline in order volumes for new and existing products; our high fixed costs; manufacturing difficulties; risks associated with our significant foreign operations; additions or departures of key personnel; involvement in or adverse results from litigation; and perceived dilution from stock issuances.

Furthermore, stock prices for many companies fluctuate widely for reasons that may be unrelated to their operating results. Those fluctuations and general economic, political and market conditions, such as recessions, terrorist or other military actions, or international currency fluctuations, as well as public perception of equity values of publicly traded companies may adversely affect the market price of our common stock. These market fluctuations may cause the trading price of our common stock to decrease. Future decreases in our stock price may adversely impact our ability to raise sufficient additional capital in the future, if needed.

If our common stock trades below book value or our business outlook worsens, we could be required to record material impairment losses for our long-lived assets, including property, plant and equipment and our identifiable intangibles.

In accordance with U.S. generally accepted accounting principles, we review our long-lived assets whenever events or changes in circumstances indicate that the carrying amount of such assets may not be recoverable. If the carrying amount of an asset or group of assets exceeds its undiscounted cash flows, the asset will be written down to its fair value.

The evaluation of the recoverability of long-lived assets requires us to make significant estimates and assumptions. These estimates and assumptions primarily include, but are not limited to, the identification of the asset group at the lowest level of independent cash flows and the primary asset of the group; and long-range forecasts of revenue, reflecting management’s assessment of general economic and industry conditions, operating income, depreciation and amortization and working capital requirements.

 

28


Table of Contents

Due to the inherent uncertainty involved in making these estimates, which are made in a particular economic environment, actual results could differ from those estimates. In addition, changes in the underlying assumptions would have a significant impact on the conclusion that an asset group’s carrying value is recoverable, or the determination of any impairment charge if it was determined that the asset values were indeed impaired.

Due to the uncertain economic environment within the semiconductor industry, we continually monitor circumstances and events to determine whether asset impairment testing is warranted.

It is possible that in the future we may no longer be able to conclude that there is no impairment of our long-lived assets, nor can we provide assurance that material impairment charges of long-lived assets will not occur in future periods.

Our annual and quarterly operating results are subject to fluctuations as a result of rapid demand shifts and our modest level of backlog, and if we fail to meet the expectations of securities analysts or investors, the market price of our common stock may decrease significantly.

Our sales and profitability can vary significantly from quarter to quarter and year to year. Because our expense levels are relatively fixed in the short-term, an unanticipated decline in revenue in a particular quarter could significantly reduce our net income, or lead to a net loss, in that quarter. In addition, we make a substantial portion of our shipments shortly after we receive the order, and therefore we operate with a relatively modest level of backlog. As a consequence of the just-in-time nature of shipments and the modest level of backlog, our results of operations may decline quickly and significantly in response to changes in order patterns or rapid decreases in demand for our products. We anticipate that fluctuations in operating results will continue in the future. Such fluctuations in our results could cause us to fail to meet the expectations of securities analysts or investors, which could cause the market price of our common stock to decline substantially. We believe that period-to-period comparisons of our results of operations may not be meaningful, and you should not rely upon them as indicators of our future performance.

If we fail to maintain an effective system of internal controls, we may not be able to accurately report our financial results. As a result, current and potential stockholders could lose confidence in our financial reporting, which would harm our business and the trading price of our stock.

Effective internal controls are necessary for us to provide reliable financial reports. If we cannot provide reliable financial reports, our business and operating results could be harmed. We have in the past discovered, and may in the future identify material weaknesses in internal control over financial reporting. Each of these past material weaknesses represented a reasonable possibility that a material misstatement of our annual or interim financial statements would not have been prevented or detected.

Any failure to implement and maintain the improvements that we have made to our controls over our financial reporting, or difficulties encountered in the implementation of these improvements in our controls, could cause us to fail to meet our reporting obligations. Any failure in our internal controls that leads to a material weakness could also cause investors to lose confidence in our reported financial information, which could have a negative impact on the trading price of our stock.

Changes effected by the Sarbanes-Oxley Act of 2002 and the Dodd-Frank Wall Street Reform and Consumer Protection Act and related SEC regulations have in the past and are likely to continue to increase our costs.

The Sarbanes-Oxley Act of 2002 and the Dodd-Frank Act required changes in some of our corporate governance, securities disclosure and compliance practices. In response to the requirements of those Acts, the Securities and Exchange Commission and the NASDAQ have promulgated new rules and listing standards covering a variety of subjects. Compliance with these rules and listing standards has increased our legal and financial and accounting

 

29


Table of Contents

costs, and we expect these increased costs to continue indefinitely. We also expect these developments may make it more difficult and more expensive for us to obtain director and officer liability insurance in the future, and we may be forced to accept reduced coverage or incur substantially higher costs to obtain coverage. Likewise, these developments may make it more difficult for us to attract and retain qualified members of our board of directors, particularly independent directors, or qualified executive officers.

Provisions in our charter documents, Delaware law and our shareholder rights plan may delay or prevent an acquisition of us, which could decrease the value of your shares.

Our certificate of incorporation and by-laws, Delaware law and our shareholder rights plan contain provisions that could make it harder for a third party to acquire us without the consent of our board of directors. These provisions include limitations on actions by our stockholders by written consent. In addition, our board of directors has the right to issue preferred stock without stockholder approval, which could be used to dilute the stock ownership of a potential hostile acquirer.

Our restated certificate of incorporation makes us subject to the anti-takeover provisions of Section 203 of the Delaware General Corporation Law. In general, Section 203 prohibits publicly held Delaware corporations to which it applies from engaging in a “business combination” with an “interested stockholder” for a period of three years after the date of the transaction in which the person became an interested stockholder, unless the business combination is approved in a prescribed manner. This provision could discourage others from bidding for our shares of common stock and could, as a result, reduce the likelihood of an increase in the price of our common stock that would otherwise occur if a bidder sought to buy our common stock.

Our shareholder rights plan will permit our stockholders to purchase shares of our common stock at a 50% discount upon the occurrence of specified events, including the acquisition by anyone of 15% or more of our common stock, unless such event is approved by our board of directors. Delaware law also imposes restrictions on mergers and other business combinations between us and any holder of 15% or more of our outstanding common stock. Although we believe these provisions provide for an opportunity to receive a higher bid by requiring potential acquirers to negotiate with our board of directors, these provisions apply even if the offer may be considered beneficial by stockholders. If a change of control or change in management is delayed or prevented, the market price of our common stock could decline.

Our certificate of incorporation authorizes the issuance of shares of blank check preferred stock.

Our certificate of incorporation provides that our board of directors is authorized to issue from time to time, without further stockholder approval, up to 5,000,000 shares of preferred stock in one or more series and to fix and designate the rights, preferences, privileges and restrictions of the preferred stock, including dividend rights, conversion rights, voting rights, redemption rights and terms of redemption and liquidation preferences. Such shares of preferred stock could have preferences over our common stock with respect to dividends and liquidation rights. Our issuance of preferred stock may have the effect of delaying or preventing a change in control. Our issuance of preferred stock could decrease the amount of earnings and assets available for distribution to the holders of common stock or could adversely affect the rights and powers, including voting rights, of the holders of common stock. The issuance of preferred stock could have the effect of decreasing the market price of our common stock.

Your percentage ownership in us may be diluted by future issuances of capital stock, which could reduce your influence over matters on which stockholders vote.

Subject to applicable NASDAQ standards, our board of directors has the authority, without action or vote of our stockholders, to issue all or any part of our authorized but unissued shares. Issuances of common stock or the exercise of employee and director stock options would dilute your percentage ownership interest, which will have the effect of reducing your influence over matters on which our stockholders vote. In addition, we may

 

30


Table of Contents

issue substantial quantities of our common stock in order to affect acquisitions which would also dilute your ownership interest. If the issuances are made at prices that reflect a discount from the then current trading price of our common stock, your interest in the book value of our common stock might be diluted.

Item 1B. Unresolved Staff Comments.

Not Applicable.

 

31


Table of Contents
Item 2. Properties.

Our principal executive offices are located in Billerica, Massachusetts. We also have manufacturing, design and equipment cleaning facilities in the United States, Japan, France, Taiwan, South Korea and Malaysia. Information about our principal facilities is set forth below:

 

Location

  

Principal Function

  

Approximate

Square Feet

  

Leased/

Owned

Bedford, Massachusetts    Research &Manufacturing (1) (4)    80,000    Owned
Billerica, Massachusetts    Executive Offices, Research & Manufacturing (1)    175,000    Leased (2)
Chaska, Minnesota    Executive Offices, Research & Manufacturing (1) (3)    192,000    Owned
Colorado Springs, Colorado    Manufacturing (3)    82,000    Owned
Colorado Springs, Colorado    Manufacturing (3)    40,000    Leased
Decatur, Texas    Manufacturing (4)    359,000    Owned
Montpellier, France    Cleaning Services (3)    53,000    Owned
Yonezawa, Japan    Manufacturing (1) (3)    196,000    Owned
Kulim, Malaysia    Manufacturing (1) (3)    195,000    Owned
Wonju City, South Korea    Manufacturing (1)    35,000    Owned

 

1. Facility used by our Contamination Control Solutions Division.
2. This lease has been extended through March 31, 2019 and is subject to one five-year renewal option.
3. Facility used by our Microenvironments Division.
4. Facility used by our Specialty Materials Division.

We lease approximately 4,200 square feet of manufacturing space in a facility located at 80 Ashby Road, Bedford, Massachusetts owned by Millipore Corporation pursuant to a Fourth Amended and Restated Membrane Manufacturing and Supply Agreement that expires March 31, 2014. We also lease approximately 13,000 square feet of research and development and manufacturing office space located in San Diego, California, approximately 12,000 square feet of office, research and development and manufacturing space located in Fridley, Minnesota and approximately 31,000 square feet of office, research and development and manufacturing space located in Franklin, Massachusetts.

In addition, we lease an aggregate of approximately 16,000 square feet of office, research and development and manufacturing space in three buildings located in Burlington, Massachusetts which currently houses our specialty coatings business. These leases are for a term expiring December 31, 2012, but we are negotiating an extension of these leases through March 2014. In 2012, we purchased real property in Bedford, Massachusetts, and we are in the process of building infrastructure upgrades and facilities at that property. When this facility is complete, our specialty coatings business will relocate to that facility. During 2011, we opened a new manufacturing facility in 20,000 square feet of leased space in Hsinchu, Taiwan for use by our Contamination Control Solutions Division.

We maintain a worldwide network of sales, service, repair or cleaning centers in the United States, Germany, France, Israel, Japan, Malaysia, Taiwan, Singapore, China and South Korea. Leases for our facilities expire through December 2018. We currently expect to be able to extend the terms of expiring leases or to find suitable replacement facilities on reasonable terms.

 

32


Table of Contents

We believe that our facilities are well-maintained and suitable for their respective operations. All of our facilities are generally utilized within a normal range of production volume. In addition to our operating facilities, our former headquarters building in Chaska, Minnesota is unoccupied and held for sale.

 

Item 3. Legal Proceedings.

While we are not currently involved in any legal proceedings that we believe will have a material impact on our consolidated financial position, results of operations or cash flows, from time to time the Company may be a party to litigation involving claims against the Company arising in the ordinary course of our business. We are not aware of any material potential litigation or claims against us which would have a material adverse effect upon our financial statements.

 

Item 4. Mine Safety Disclosures.

Not applicable.

 

33


Table of Contents

PART II

 

Item 5. Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities.

Market Information and Holders:

Entegris’ Common Stock, $0.01 par value, trades on the NASDAQ Global Select Market under the symbol “ENTG”. The following table sets forth the high and low sales prices of the Company shares for each full quarterly period during fiscal 2012 and 2011. As of February 13, 2013 there were 1,339 shareholders of record. On February 13, 2013, the last sale price reported on the Nasdaq Global Select Market for our common stock was $9.82 per share.

 

     Fiscal 2012      Fiscal 2011  
     Low      High      Low      High  

First quarter

   $ 8.56       $ 10.18       $ 6.98       $ 9.64   

Second quarter

   $ 7.45       $ 9.52       $ 7.50       $ 10.50   

Third quarter

   $ 7.62       $ 9.35       $ 6.35       $ 10.58   

Fourth quarter

   $ 7.50       $ 9.35       $ 6.00       $ 9.20   

Dividend Policy:

The Company has never declared or paid any cash dividends on its capital stock. The Company currently intends to retain all available earnings for use in its business operations and does not anticipate paying any cash dividends in the foreseeable future. Furthermore, our Restated Credit Agreement contains restrictions that limit our ability to pay dividends. On July 27, 2005 the Entegris Board of Directors declared a dividend of one common stock purchase right for each share of Entegris Common Stock outstanding to shareholders of record on August 8, 2005, payable on August 8, 2005. For a description of the Common Stock Rights Plan see “Other Information” in Item 1 above. Each right generally entitles the holder to purchase one one-hundredth of a share of a series of preferred stock of Entegris at a price of $50.

Issuer Sales of Unregistered Securities During the Past Three Years:

None

 

34


Table of Contents

Comparative Stock Performance

The following graph compares the cumulative total shareholder return on the common stock of Entegris, Inc. from December 31, 2007 through December 31, 2012 with cumulative total return of (1) The NASDAQ Composite Index (NASDAQ), and (2) The Philadelphia Semiconductor Index, assuming $100 was invested at the close of trading December 31, 2007 in Entegris, Inc. common stock, the NASDAQ Composite Index and the Philadelphia Semiconductor Index and that all dividends are reinvested.

 

LOGO

 

     December 31,
2007
     December 31,
2008
     December 31,
2009
     December 31,
2010
     December 31,
2011
     December 31,
2012
 

Entegris, Inc.

   $ 100.00       $ 25.38       $ 61.17       $ 86.54       $ 101.13       $ 106.35   

NASDAQ Composite

   $ 100.00       $ 60.04       $ 87.27       $ 103.11       $ 102.30       $ 120.45   

Phila. Semi. Index

   $ 100.00       $ 52.81       $ 91.10       $ 129.14       $ 115.76       $ 124.06   

Issuer Purchases of Equity Securities:

On October 26, 2011, the Company announced that its Board of Directors had authorized the repurchase of up to an aggregate of $50.0 million of the Company’s common stock in open market transactions and in accordance with a pre-arranged stock trading plan established on November 22, 2011 for the purpose of repurchasing up to $50 million of the registrant’s common stock in accordance with Rule 10b5-1 under the Securities Exchange Act of 1934, as amended (the “Plan”). The Plan commenced on November 28, 2011 and the expiration date of the Plan was extended until February 8, 2013. There have been no repurchases of the Company’s common stock under the Plan during the quarter ended December 31, 2012.

On December 12, 2012, the Board of Directors authorized a repurchase program for 2013 covering up to an aggregate of $50.0 million of the Company’s common stock in open market transactions and in accordance with one or more pre-arranged stock trading plans established in accordance with Rule 10b5-1 under the Securities Exchange Act of 1934, as amended. The repurchase program for 2013 will expire in December 2013 unless it is terminated or extended. The initial pre-arranged stock trading plan was established on February 19, 2013 and will expire August 19, 2013 and will cover the repurchase of up to $30 million of the registrant’s common stock.

 

35


Table of Contents
Item 6. Selected Financial Data.

The table that follows presents selected financial data for each of the last five fiscal years from the Company’s consolidated financial statements and should be read in conjunction with the Company’s Consolidated Financial Statements and the related Notes and with “Management’s Discussion and Analysis of Financial Condition and Results of Operations” included elsewhere in this Annual Report on Form 10-K. The selected financial data set forth below as of December 31, 2012 and 2011 and for the fiscal years ended December 31, 2012, 2011 and 2010 are derived from our audited financial statements included in this Annual Report on Form 10-K. All other selected financial data set forth below is derived from our audited financial statements not included in this Annual Report on Form 10-K. Our historical results are not necessarily indicative of our results of operations to be expected in the future.

 

(In thousands, except per share amounts)

   Year ended
December 31,
2012
    Year ended
December 31,
2011
    Year ended
December 31,
2010
    Year ended
December 31,
2009
    Year ended
December 31,
2008
 

Operating Results

          

Net sales

   $ 715,903      $ 749,259      $ 688,416      $ 398,644      $ 554,699   

Gross profit

     307,383        325,930        310,643        137,812        211,515   

Selling, general and administrative expenses

     147,405        140,847        147,051        117,001        147,531   

Engineering, research and development expenses

     50,940        47,980        43,934        35,039        40,086   

Amortization of intangible assets

     9,594        10,225        13,231        19,237        19,585   

Impairment of goodwill

     —          —          —          —          473,799   

Restructuring charges

     —          —          —          15,463        10,423   

Operating profit (loss)

     99,444        126,878        106,427        (48,928     (479,909

Income (loss) before income taxes and equity in affiliate net income (loss)

     99,703        127,964        101,481        (59,888     (496,413

Income tax expense (benefit)

     30,881        4,217        15,006        (2,996     19,201   

Income (loss) from continuing operations

     68,825        124,246        85,122        (57,759     (515,897

Net income (loss) attributable to Entegris, Inc.

     68,825        123,846        84,356        (57,721     (517,002
  

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Earnings Per Share Data

          

Diluted earnings (loss) per share – continuing operations

   $ 0.50      $ 0.91      $ 0.63      $ (0.49   $ (4.58

Weighted average shares outstanding – diluted

     138,412        136,223        133,174        117,321        112,653   
  

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Operating Ratios – % of net sales

          

Gross profit

     42.9     43.5     45.1     34.6     38.1

Selling, general and administrative expenses

     20.6        18.8        21.4        29.3        26.6   

Engineering, research and development expenses

     7.1        6.4        6.4        8.8        7.2   

Amortization of intangible assets

     1.3        1.4        1.9        4.8        3.5   

Impairment of goodwill

     —          —          —          —          85.4   

Restructuring charges

     —          —          —          3.9        1.9   

Operating profit (loss)

     13.9        16.9        15.5        (12.3     (86.5

Income (loss) before income taxes and equity in affiliate net income (loss)

     13.9        17.1        14.7        (15.0     (89.5

Effective tax rate 

     31.0        3.3        14.8        5.0        (3.9

Net income (loss) attributable to Entegris, Inc.

     9.6        16.5        12.3        (14.5     (93.2
  

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Cash Flow Statement Data

          

Depreciation and amortization

   $ 37,607      $ 37,064      $ 41,198      $ 50,127      $ 46,343   

Capital expenditures

     49,929        30,267        16,794        13,162        26,987   

Net cash provided by operating activities

     115,162        157,286        140,898        4,193        66,260   

Net cash used in investing activities

     (72,467     (28,431     (11,985     (9,843     (199,921

Net cash provided by (used in) financing activities

     10,890        10,864        (65,709     (40,690     82,681   
  

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Balance Sheet and Other Data

          

Current assets

   $ 579,324      $ 502,999      $ 387,091      $ 267,458      $ 313,128   

Current liabilities

     93,263        92,594        107,634        73,910        79,356   

Working capital

     486,061        410,405        279,457        193,548        233,772   

Current ratio

     6.21        5.43        3.60        3.62        3.95   

Long-term debt

     —          —          —          52,492        150,516   

Shareholders’ equity

     694,799        608,238        459,619        346,192        336,170   

Total assets

     811,544        724,663        601,385        504,672        597,824   

Return on average shareholders’ equity – %

     10.6     23.2     20.9     (16.9 )%      (87.0 )% 

Shares outstanding at end of period

     138,458        135,821        132,901        130,043        113,102   
  

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

 

36


Table of Contents
Item 7. Management’s Discussion and Analysis of Financial Condition and Results of Operations.

The following discussion and analysis of the Company’s consolidated financial condition and results of operations should be read along with the consolidated financial statements and the accompanying notes to the consolidated financial information included elsewhere in this Annual Report on Form 10-K. This discussion contains forward-looking statements that involve numerous risks and uncertainties, including, but not limited to, those described in the “Cautionary Statements” sections of this Item 7 below. The Company’s actual results may differ materially from those contained in any forward-looking statements. You should review the section entitled “Risk Factors” of this Annual Report on Form 10-K for a discussion of important factors that could cause actual results to differ materially from the results described in or implied by the forward-looking statements contained in the following discussion and analysis.

Cautionary Statements

This Annual Report on Form 10-K and the documents incorporated by reference in this Annual Report on Form 10-K contain “forward-looking statements” within the meaning of the Private Securities Litigation Reform Act of 1995. The information in this Management’s Discussion and Analysis of Financial Condition and Results of Operations, except for the historical information, contains forward-looking statements. These forward-looking statements reflect the Company’s current views with respect to future events and financial performance. The words “believe,” “expect,” “anticipate,” “intend,” “estimate,” “forecast,” “project,” “may,” will,” “would,” “could,” “should” and similar expressions are intended to identify these “forward-looking statements.” You should read statements that contain these words carefully because they discuss future expectations, contain projections of future results of operations or of financial position or state other “forward-looking” information. All forecasts and projections in this report are “forward-looking statements,” and are based on management’s current expectations of the Company’s near-term results, based on current information available pertaining to the Company. The important factors listed below, as well as any cautionary language elsewhere in this Annual Report on Form 10-K, provide examples of risks, uncertainties and events that may cause our actual results to differ materially from the expectations described in these forward-looking statements. The risks which could cause actual results to differ from those contained in such “forward looking statements” include, without limitation, the risks described in the Company’s Annual Report on Form 10-K for the year ended December 31, 2012 under the headings “Risks Relating to our Business and Industry,” “Manufacturing Risks,” “International Risks” and “Risks Related to Owning Our Securities” as well as in the Company’s quarterly reports on Form 10-Q and current reports on Form 8-K as filed with the Securities and Exchange Commission. Any forward-looking statements in this Annual Report on Form 10-K are not guarantees of future performance, and actual results, developments and business decisions may differ from those envisaged by such forward-looking statements, possibly materially. We disclaim any duty to update any forward-looking statements.

Overview

This overview is not a complete discussion of the Company’s financial condition, changes in financial condition and results of operations; it is intended merely to facilitate an understanding of the most salient aspects of its financial condition and operating performance and to provide a context for the detailed discussion and analysis that follows and must be read in its entirety in order to fully understand the Company’s financial condition and results of operations.

Entegris, Inc. is a leading provider of a wide range of products and services for purifying, protecting and transporting the critical materials used in processing and manufacturing in the microelectronics and other high-technology industries. Entegris derives most of its revenue from the sale of products and services to the semiconductor and related industries. The Company’s customers consist primarily of semiconductor manufacturers, semiconductor equipment and materials suppliers as well as thin film transistor-liquid crystal display (TFT-LCD) and hard disk manufacturers, which are served through direct sales efforts, as well as sales and distribution relationships, in the United States, Asia, Europe and the Middle East.

 

37


Table of Contents

The Company offers a diverse product portfolio which includes more than 17,000 standard and customized products that it believes provide the most comprehensive offering of contamination control solutions and microenvironment products and services to maintain the purity and integrity of critical materials used by the semiconductor and other high-technology industries. Certain of these products are unit-driven and consumable products that rely on the level of semiconductor manufacturing activity to drive growth, while others are capital-expenditure driven and rely on expansion of manufacturing capacity to drive growth. The Company’s unit-driven and consumable products includes membrane-based liquid filters and housings, metal-based gas filters, resin-based gas purifiers, wafer shippers, disk-shipping containers and test assembly and packaging products and consumable graphite and silicon carbide components used in plasma etch, ion implant and chemical vapor deposition processes in semiconductor manufacturing. The Company’s capital expense-driven products include components, systems and subsystems that use electro-mechanical, pressure differential and related technologies to permit semiconductor and other electronics manufacturers to monitor and control the flow and condition of process liquids used in these manufacturing processes, and process carriers that protect the integrity of in-process wafers.

Key operating factors Key factors, which management believes have the largest impact on the overall results of operations of Entegris, Inc., include:

 

   

Level of sales Since a significant portion of the Company’s product costs (except for raw materials, purchased components and direct labor) are largely fixed in the short-to-medium term, an increase or decrease in sales affects gross profits and overall profitability significantly. Also, increases or decreases in sales and operating profitability affect certain costs such as incentive compensation and commissions, which are highly variable in nature. The Company’s sales are subject to the effects of industry cyclicality, technological change, substantial competition, pricing pressures and foreign currency fluctuation.

 

   

Variable margin on sales The Company’s variable margin on sales is determined by selling prices and the costs of manufacturing and raw materials. This is affected by a number of factors, which include the Company’s sales mix, purchase prices of raw material (especially polymers, stainless steel and purchased components), competition, both domestic and international, direct labor costs, and the efficiency of the Company’s production operations, among others.

 

   

Fixed cost structure . The Company’s operations include a number of large fixed or semi-fixed cost components, which include salaries, indirect labor and benefits, facility costs, lease expense, and depreciation and amortization. It is not possible to vary these costs easily in the short-term as volumes fluctuate. Accordingly, increases or decreases in sales volume can have a large effect on the usage and productivity of these cost components, resulting in a large impact on the Company’s profitability.

Overall Summary of Financial Results for the Year Ended December 31, 2012

The Company’s financial results for 2012 reflected the lower capital spending levels and sluggish production rates in the semiconductor industry that began in the latter half of 2011. Total net sales for the year ended December 31, 2012 were $715.9 million, down $33.4 million, or 4%, from sales of $749.3 million for the year ended December 31, 2011. Sales in 2012 showed modest quarterly growth from late 2011 levels before declining in the latter half of the year.

The sales decrease in 2012 included unfavorable foreign currency translation effects of $8.5 million related to the year-over-year weakening of most international currencies versus the U.S. dollar, most notably the Euro. Excluding this factor, net sales fell approximately 3% in 2012 when compared to 2011.

The year-over-year sales decrease, along with a slightly unfavorable sales mix, accounted for lower gross profits in 2012. These factors, along with lower levels of factory utilization, underlie the gross margin rate for 2012 of 42.9% compared to 43.5% a year ago.

 

38


Table of Contents

Operating costs, consisting of selling, general and administrative (SG&A) and engineering, research and development (ER&D) costs, increased 5% for the year ended December 31, 2012 when compared to the year-ago period. Included in SG&A for the year ended December 31, 2012 was a $3.9 million charge associated with a CEO succession and transition plan.

The Company’s effective tax rate was 31.0% in 2012 compared to 3.3% in 2011. Tax expense in 2011 included a $41.0 million benefit associated with a decrease in the Company’s U.S. deferred tax asset valuation allowance, primarily accounting for the year-to-year increase in the effective tax rate.

As a result of the aforementioned factors, net income attributable to the Company for 2012 was $68.8 million, or $0.50 per diluted share, compared to net income attributable to the Company of $123.8 million, or $0.91 per diluted share, in 2011.

During 2012, the Company’s operating activities provided cash flow of $115.2 million. Cash, cash equivalents and short-term investments were $350.4 million at December 31, 2012 compared with $273.6 million at December 31, 2011. The Company had no outstanding short-term bank borrowings or long-term debt at December 31, 2012.

Critical Accounting Policies

Management’s discussion and analysis of financial condition and results of operations are based upon the Company’s consolidated financial statements, which have been prepared in accordance with accounting principles generally accepted in the United States. The preparation of these consolidated financial statements requires the Company to make estimates, assumptions and judgments that affect the reported amounts of assets, liabilities, revenues and expenses and related disclosure of contingent assets and liabilities. At each balance sheet date, management evaluates its estimates, including, but not limited to, those related to accounts receivable, sales return obligations, inventories, long-lived assets, income taxes and shared-based compensation. The Company bases its estimates on historical experience and various other assumptions that are believed to be reasonable under the circumstances. If management made different judgments or utilized different estimates, this could result in material differences in the amount and timing of the Company’s results of operations for any period. In addition, actual results could be different from the Company’s current estimates, possibly resulting in increased future charges to earnings.

The critical accounting policies affected most significantly by estimates, assumptions and judgments used in the preparation of the Company’s consolidated financial statements are discussed below.

Accounts Receivable-Related Valuation Accounts The Company maintains allowances for doubtful accounts and for sales returns and allowances. Significant management judgments and estimates must be made and used in connection with establishing these valuation accounts.

The Company provides an allowance for doubtful accounts for all individual receivables judged to be unlikely for collection. In addition, for all other accounts receivable, the Company records an allowance for doubtful accounts based on a combination of factors. Specifically, management considers the age of receivable balances, historical bad debt write-off experience and current economic circumstances. The Company’s allowance for doubtful accounts was $2.3 million and $1.0 million at December 31, 2012 and 2011, respectively. The increase in 2012 primarily reflects the recording of allowances for specific individual receivables.

An allowance for sales returns and allowances is established based on historical and current trends in both sales and product returns. At December 31, 2012 and 2011, the Company’s reserve for sales returns and allowances was $1.2 million and $0.7 million, respectively. The increase in 2012 primarily reflects changes in the underlying variables of the Company’s determination of its sales return allowances.

 

39


Table of Contents

Inventory Valuation The Company uses certain estimates and judgments to properly value its inventory. In general, the Company’s inventories are recorded at the lower of cost or market. The Company evaluates its ending inventories for obsolescence and excess quantities each quarter. This evaluation includes analyses of inventory levels, historical write-off trends, expected product lives, and historical and projected sales levels by product. Inventories that are considered obsolete are written off or a full allowance is recorded. In addition, allowances are established for inventory quantities in excess of forecasted demand. Inventory allowances were $5.7 million at both December 31, 2012 and 2011.

The Company’s inventories include materials and products subject to technological obsolescence, which are sold in highly competitive industries. If future demand or market conditions are less favorable than current conditions or the Company’s projected outlook for sales, inventory write-downs or additional allowances may be required and would be reflected in cost of sales in the period the revision is made.

Impairment of Long-Lived Assets As of December 31, 2012, the Company had $157.0 million of net property, plant and equipment and $47.2 million of net intangible assets. The Company routinely considers whether indicators of impairment of the value of its long-lived assets, particularly its manufacturing equipment, and its intangible assets, are present. A long-lived asset (asset group) shall be tested for recoverability whenever events or changes in circumstances (triggering events) indicate that its carrying amount may not be recoverable. The following are examples of such events or changes in circumstances:

 

  a. A significant decrease in the market price of a long-lived asset (asset group)

 

  b. A significant adverse change in the extent or manner in which a long-lived asset (asset group) is being used or in its physical condition

 

  c. A significant adverse change in legal factors or in the business climate that could affect the value of a long-lived asset (asset group), including an adverse action or assessment by a regulator

 

  d. An accumulation of costs significantly in excess of the amount originally expected for the acquisition or construction of a long-lived asset (asset group)

 

  e. A current-period operating or cash flow loss combined with a history of operating or cash flow losses or a projection or forecast that demonstrates continuing losses associated with the use of a long-lived asset (asset group)

 

  f. A current expectation that, more likely than not, a long-lived asset (asset group) will be sold or otherwise disposed of significantly before the end of its previously estimated useful life.

If such indicators are present, it is determined whether the sum of the estimated undiscounted cash flows attributable to the asset group in question is less than its carrying value. If less, an impairment loss is recognized based on the excess of the carrying amount of the asset group over its respective fair value. Fair value is determined by discounting estimated future cash flows, appraisals or other methods deemed appropriate. If the asset groups determined to be impaired are to be held and used, the Company recognizes an impairment charge to the extent the fair value attributable to the asset group is less than the assets’ carrying value. The fair value of the assets then becomes the assets’ new carrying value, which is depreciated or amortized over the remaining estimated useful life of the assets.

The Company’s long-lived assets are grouped with other assets and liabilities at the lowest level (asset groups) for which the identifiable cash flows are largely independent of the cash flows of other assets and liabilities. The Company has four significant asset groups, identified by assessing the Company’s identifiable cash flows and the interdependence of such cash flows: Contamination Control Solutions (CCS), Microenvironments (ME), Poco Graphite (POCO) and Entegris Specialty Coatings (ESC).

As described above, the evaluation of the recoverability of long-lived assets requires the Company to make significant estimates and assumptions. These estimates and assumptions primarily include, but are not limited to, the identification of the asset group at the lowest level of independent cash flows, the primary asset of the group

 

40


Table of Contents

and long-range forecasts of revenue and costs, reflecting management’s assessment of general economic and industry conditions, operating income, depreciation and amortization and working capital requirements.

Due to the inherent uncertainty involved in making these estimates, actual results could differ from those estimates. In addition, changes in the underlying assumptions would have a significant impact on the conclusion that an asset group’s carrying value is recoverable, or the determination of any impairment charge if it was determined that the asset values were indeed impaired.

Based on current general economic conditions and trends within the semiconductor industry and the absence of any other triggering events, the Company has not been required to perform impairment testing for any of its asset groups since 2009. The Company will continue to monitor circumstances and events to determine whether asset impairment testing is warranted. It is possible that in the future the Company may no longer be able to conclude that there is no impairment of its long-lived assets, nor can the Company provide assurance that material impairment charges of long-lived assets will not occur in future periods.

Income Taxes In the preparation of the Company’s financial statements, the income tax expense, deferred tax assets and liabilities, and reserves for unrecognized tax benefits reflect management’s best assessment of estimated current and future taxes to be paid. The Company is subject to income taxes in both the United States and numerous foreign jurisdictions. Significant judgments and estimates are required in determining consolidated income tax expense.

Deferred income taxes arise from temporary differences between the tax basis of assets and liabilities and their reported amounts in the financial statements, which will result in taxable or deductible amounts in the future. In evaluating the Company’s ability to recover its deferred tax assets within the jurisdiction from which they arise, management considers all available positive and negative evidence, including scheduled reversals of deferred tax liabilities, projected future taxable income, tax-planning strategies, and results of recent operations. In projecting future taxable income, the Company begins with historical results adjusted for the results of discontinued operations and incorporates assumptions about the amount of future state, federal and foreign pretax operating income adjusted for items that do not have tax consequences. The assumptions about future taxable income require significant judgment and are consistent with the plans and estimates management is using to manage the underlying business. In evaluating the objective evidence that historical results provide, the Company considers three years of cumulative operating income (loss).

The Company has deferred tax assets related to certain federal and state credit carryforwards, and certain state and foreign net operating loss carryforwards of $5.8 million and $14.5 million as of December 31, 2012 and December, 31 2011, respectively. Management believes it is more likely than not that the benefit from a portion of these carryforwards will not be realized. In recognition of this risk, the Company provided a valuation allowance of $5.0 million and $4.6 million as of December 31, 2012 and December 31, 2011, respectively, relating to these carryforwards. If the Company’s assumptions change and it determines it will be able to realize these carryforwards, the tax benefits relating to any reversal of the valuation allowance on the deferred tax assets will be recognized as a reduction of income tax expense.

The calculation of tax liabilities involves dealing with uncertainties in the application of complex tax laws and regulations in a multitude of jurisdictions across our global operations. A tax benefit from an uncertain tax position may be recognized when it is more likely than not that the position will be sustained upon examination, including resolutions of any related appeals or litigation processes, on the basis of the technical merits. Resolution of these uncertainties in a manner inconsistent with management’s expectations could have a material impact on the Company’s financial condition and operating results.

Share-Based Compensation U.S generally accepted accounting principles require the measurement and recognition of compensation expense for all share-based payment awards made to employees and directors based on estimated fair values. The Company estimates the value of stock option and restricted stock awards on the date of grant.

 

41


Table of Contents

The fair value of restricted stock and restricted stock unit awards is valued based on the Company’s stock price on the date of grant. The fair value of stock option awards is estimated on the date of grant using an option-pricing model affected by the Company’s stock price as well as assumptions regarding a number of complex and subjective variables. These variables include the expected stock price volatility over the expected term of the awards, risk-free interest rate and dividend yield assumptions, and actual and projected employee stock option exercise behaviors and forfeitures. Because share-based compensation expense recognized in the consolidated statement of operations is based on awards ultimately expected to vest, it is recorded net of estimated forfeitures. Forfeitures are estimated at the time of grant and revised, if necessary, in subsequent periods if actual forfeitures differ from those estimates. Forfeitures are estimated based on historical experience and current expectations.

If the above factors change, and the Company uses different assumptions in future periods, the share-based compensation expense recorded may differ significantly from what was recorded in the current period.

Results of Operations

Year ended December 31, 2012 compared to year ended December 31, 2011

The following table sets forth the results of operations and the relationship between various components of operations, stated as a percent of net sales, for the years ended December 31, 2012 and 2011. The Company’s historical financial data was derived from its consolidated financial statements and related notes included elsewhere in this annual report.

 

(Dollars in thousands)

   2012     2011  
         % of net sales           % of net sales  

Net sales

   $ 715,903        100.0   $ 749,259        100.0

Cost of sales

     408,520        57.1        423,329        56.5   
  

 

 

   

 

 

   

 

 

   

 

 

 

Gross profit

     307,383        42.9        325,930        43.5   

Selling, general and administrative expenses

     147,405        20.6        140,847        18.8   

Engineering, research and development expenses

     50,940        7.1        47,980        6.4   

Amortization of intangible assets

     9,594        1.3        10,225        1.4   
  

 

 

   

 

 

   

 

 

   

 

 

 

Operating income

     99,444        13.9        126,878        16.9   

Interest (income) expense, net

     (10     (0.0     659        0.1   

Other income, net

     (249     (0.0     (1,745     (0.2
  

 

 

   

 

 

   

 

 

   

 

 

 

Income before income taxes and equity in net loss of affiliates

     99,703        13.9        127,964        17.1   

Income tax expense

     30,881        4.3        4,217        0.6   

Equity in net income of affiliates

     (3     (0.0     (499     (0.1
  

 

 

   

 

 

   

 

 

   

 

 

 

Net income

   $ 68,825        9.6      $ 124,246        16.6   
  

 

 

   

 

 

   

 

 

   

 

 

 

Net sales For the year ended December 31, 2012, net sales were $715.9 million, down $33.4 million, or 4%, from sales for the year ended December 31, 2011. The Company’s net sales for 2012 reflected the lower capital spending levels and sluggish production rates in the semiconductor industry that began in the latter half of 2011. Sales in 2012 showed modest quarterly growth from late 2011 levels before declining in the third and fourth quarters. The Company’s operating segments experienced mixed sales results. See the “Segment analysis” included below in this section for additional detail.

The sales decrease in 2012 included unfavorable foreign currency translation effects of $8.5 million related to the year-over-year weakening of most international currencies versus the U.S. dollar, most notably the Euro. Excluding this factor, net sales fell approximately 3% in 2012 when compared to 2011.

 

42


Table of Contents

On a geographic basis, total sales to North America were 31%, Asia Pacific 38%, Europe 12% and Japan 19% in 2012. Total sales to North America were 29%, Asia Pacific 38%, Europe 14% and Japan 19% in 2011. When comparing 2012 to 2011, all regions experienced year-over-year sales decreases except North America. Net sales to customers in Asia, Europe, and Japan decreased 3%, 19%, and 6%, respectively, and North America increased 2% from 2011 to 2012. Net sales for Asia and Europe were affected by unfavorable foreign currency translation effects of $7.0 million and $1.5 million, respectively. Net of those effects, sales decreased 3% and 12% for Asia and Europe, respectively.

Demand drivers for the Company’s business primarily consist of semiconductor fab utilization and production (unit-driven) as well as capital spending for new or upgraded semiconductor fabrication equipment and facilities (capital-driven). The Company analyzes sales of its products by these two key drivers. Sales of unit-driven products represented 66% of total sales and sales of capital-driven products represented 34% of total sales in 2012. This compares to a unit-driven to capital-driven ratio of 63:37 for 2011. This shift in relative demand for capital-driven products reflects lower capital spending since mid-2011 by semiconductor customers for capacity-related products.

Sales of unit-driven products increased 1% in 2012. Unit-driven products generally have average lives of less than 18 months or need to be replaced based on usage levels. These products include liquid filters used in the photolithography, CMP and wet etch and clean processes, specialized graphite components, and wafer shippers used to ship raw wafers, particularly at wafer sizes of 200mm and below.

Year-over-year sales of capital-driven products decreased 14% in 2012. Capital-driven products include wafer process carriers, gas microcontamination control systems used in the deployment of advanced photolithography processes, fluid handling systems, including dispense pumps used in the photolithography process, and integrated liquid flow controllers used in various processes around the fab.

The Company believes the sales decreases noted above are primarily volume driven. Based on the information available, the Company believes it improved or maintained market share for its products and that the effect of selling price erosion was nominal. Additionally, given that no single customer accounts for more than 10% of the Company’s annual revenue, the decrease in sales has not been driven by any one particular customer or group of customers, but rather by the decline in semiconductor and other high-technology sectors as a whole.

Gross profit Gross profit for 2012 decreased by $18.5 million, to $307.4 million, a decrease of 6% from $325.9 million for 2011. The gross margin rate for 2012 was 42.9% versus 43.5% for 2011.

The year-over-year sales decrease accounted for the Company’s lower gross profit in 2012. The reduction in gross profit related to a slightly unfavorable sales mix was offset by improved levels of factory utilization, primarily at the Company’s Microenvironments segment, and higher royalty revenue.

Selling, general and administrative expenses Selling, general and administrative (SG&A) expenses for 2012 increased $6.6 million, or 5%, to $147.4 million from $140.8 million in 2011. SG&A expenses, as a percent of net sales, increased to 20.6% from 18.8% a year earlier, reflecting both the decrease in net sales and increase in SG&A expenditure levels.

The increase in SG&A expenses includes a $3.9 million charge associated with compensation to which the Company’s former chief executive officer was entitled in connection with a succession and transition plan, a $1.4 million increase in consultants’ fees, and a $1.3 million increase in the provision for bad debts. Other employee costs, which make up about two-thirds of SG&A expenses, were flat as lower accruals for incentive compensation were offset by increases in other employee cost categories, most notably benefit costs. The increase in SG&A costs was partially offset by favorable foreign currency translation effects of $1.4 million.

Included in the twelve-month period ended December 31, 2011 was a $0.7 million gain associated with the pension curtailment of the Company’s Japan defined benefit pension plan. Refer to Note 13 to the Company’s consolidated financial statements for further discussion.

 

43


Table of Contents

Engineering, research and development expenses Engineering, research and development (ER&D) expenses related to the support of current product lines and the development of new products and manufacturing technologies increased by $3.0 million, or 6%, to $50.9 million in 2012 compared to $48.0 million in 2011. ER&D expenses as a percent of net sales were 7.1% compared to 6.4% a year ago, reflecting both the increase in ER&D expenditure levels and decrease in net sales.

The increase in ER&D expense mainly reflects higher employee costs ($0.6 million) and a general increase in overall ER&D expense levels related to the support of current product lines and the development of new products and manufacturing technologies.

Moving into 2013, the Company intends to invest in its core membrane and coatings technologies to continue to create differentiated and high-value, unit-driven products for the most advanced and demanding semiconductor applications. In addition, the Company is committed to the ER&D spending and capital investment needed to sustain its initiative in 450 mm wafer handling as that technology is adopted over the next several years.

Amortization of intangible assets Amortization of intangible assets was $9.6 million in 2012 compared to $10.2 million for 2011. The decline reflects the absence of amortization expense for certain acquired developed technology and trade name assets that became fully amortized in 2011 or 2012.

Other income, net Other income was $0.2 million in 2012 compared to other income of $1.7 million in 2011. In 2012, other income includes a $1.5 million gain recorded in the second quarter related to the remeasurement of the previously held 50% equity investment in a Taiwan joint venture entity in which the Company acquired a 100% interest in April 2012. The other income was partially offset by $1.4 million of foreign currency transaction losses related to the remeasurement of yen-denominated assets and liabilities held by the Company. In 2011, other income primarily relates to a $1.5 million gain recorded in connection with the sale of an equity investment.

Income tax expense The Company recorded income tax expense of $30.9 million in 2012 compared to an income tax expense of $4.2 million in 2011. The Company’s effective tax rate was 31.0% in 2012, compared to 3.3% in 2011.

In 2012, the Company’s effective tax rate was lower than the U.S. statutory rate of 35% primarily due to lower rates in various foreign jurisdictions compared to the U.S. statutory rate.

In 2011, the Company’s effective tax rate was lower than the U.S. statutory rate of 35% due mainly to the $41.0 million reduction of tax expense related to the decrease in the Company’s deferred tax asset valuation allowance. Management concluded it is more likely than not that the Company would realize the U.S. net deferred tax assets and thereby released the valuation allowance on most of its U.S. deferred tax assets. The $41.0 million of benefit to tax expense comprises $19.8 million from the U.S. utilization of deferred tax assets during the year, $0.2 million from the utilization of foreign deferred tax assets and $21.0 million attributed to the release of the valuation allowance at December 31, 2011.

Equity in net income of affiliates The Company recorded equity in the net income of affiliates of $3 thousand in 2012 compared to equity in the net income of affiliates of $0.5 million in 2011. During 2012, the Company acquired the remaining 50% of Entegris Precision Technologies Corporation (EPT) in Taiwan, an entity in which it had previously owned a 50% equity interest accounted for under the equity method.

Net income attributable to Entegris, Inc. Net income attributable to the Company was $68.8 million, or $0.50 per diluted share, in 2012 compared to net income attributable to the Company of $123.8 million, or $0.91 per diluted share, in 2011. The decrease mainly reflects the Company’s lower net sales and related gross profit decrease, slightly increased operating expenses and higher income tax expense, each described in greater detail above.

 

44


Table of Contents

Non-GAAP Measures Information The Company’s consolidated financial statements are prepared in conformity with accounting principles generally accepted in the United States (GAAP). The Company also utilizes certain non-GAAP financial measures as a complement to financial measures provided in accordance with GAAP in order to better assess and reflect trends affecting the Company’s business and results of operations. See “Non-GAAP Information” included below in this section for additional detail, including the reconciliation of GAAP measures to the Company’s non-GAAP measures.

The Company’s non-GAAP financial measures are Adjusted EBITDA and Adjusted Operating Income, together with related measures thereof, and non-GAAP Earnings Per Share (EPS).

Adjusted EBITDA decreased 14% to $141.0 million in 2012, compared to $163.2 million in 2011. Adjusted EBITDA, as a percent of net sales, decreased to 19.7% from 21.8% a year earlier. Adjusted Operating Income decreased 17% to $113.0 million in 2012, compared to $136.4 million in 2011. Adjusted Operating Income, as a percent of net sales, decreased to 15.8% from 18.2% a year earlier. Non-GAAP Earnings Per Share decreased 30% to $0.55 in 2012, compared to $0.79 in 2011. The decline in the Adjusted EBITDA and Adjusted Operating Income measures reflect the reduction in net sales and related decrease in gross profit. In addition, Non-GAAP Earnings Per Share was adversely affected by a higher effective tax rate.

Segment Analysis

The following table and discussion concern the results of operations of the Company’s three business segments for the years ended December 31, 2012 and 2011. See Note 16 “Segment Reporting” to the consolidated financial statements for additional information on the Company’s three segments.

 

(In thousands)

   2012      2011  

Contamination Control Solutions:

     

Net sales

   $ 461,838       $ 483,958   

Segment profit

     116,356         140,313   

Microenvironments:

     

Net sales

   $ 182,375       $ 182,150   

Segment profit

     37,223         29,959   

Specialty Materials:

     

Net sales

   $ 71,690       $ 83,151   

Segment profit

     12,230         18,255   

Contamination Control Solutions (CCS)

For the year ended December 31, 2012, CCS net sales decreased 5%, to $461.8 million, from $484.0 million in the comparable period last year. Net of unfavorable foreign currency effects of $4.6 million, CCS net sales fell 4%. CCS sales decreased due to lower sales of products tied to semiconductor industry capital spending, which experienced a sharp drop in the second half of 2012. Sales of both fluid components and systems products, and gas filtration products fell in 2012. Sales of liquid filtration products, which are less affected by capital spending levels, improved due to strong initial acceptance and demand for new products supporting advanced semiconductor manufacturing processes.

CCS reported a segment profit of $116.4 million for the year ended December 31, 2012 compared to $140.3 million in the comparable period last year, a decrease of $24.0 million, or 17%. The decrease in sales volume directly led to the decline in gross profit of $18.2 million. Operating expenses increased 7%, with selling and marketing expenses, and engineering, research and development costs related to the support of current product lines and the development of new and high-value, unit-driven products for the most advanced and demanding semiconductor applications increasing by $3.6 million and $3.2 million, respectively. Those factors account for the year-over-year change in the CCS’s profitability.

Microenvironments (ME)

For the year ended December 31, 2012, ME net sales remained flat at $182.4 million, versus $182.2 million in the comparable period last year. Net of unfavorable foreign currency effects of $3.0 million, ME net sales increased 2%. Net sales reflected higher sales of 300mm process products related to the industry’s migration to

 

45


Table of Contents

smaller advanced node processes and a $3.3 million increase in royalty revenue, offset by lower sales of 200mm process and wafer shipper products.

ME reported a segment profit of $37.2 million for the year ended December 31, 2012 compared to $30.0 million in the comparable period last year, an increase of 24%. An increase in gross profit accounts for three-quarters of the improvement in segment profit, reflecting the $3.3 million increase in royalty revenue and improved factory utilization. In addition, ME sales and marketing expenses fell by $1.9 million in 2012.

Specialty Materials (SMD)

For the year ended December 31, 2012, SMD net sales decreased 14%, to $71.7 million, down from $83.2 million in the year ended December 31, 2011. The decrease reflected lower sales for both SMD’s graphite-based components and specialty coated products, due to a weak semiconductor equipment market for SMD products as well as continued weakness in the solar market.

SMD reported a segment profit of $12.2 million in 2012 compared to $18.3 million in 2011, a decrease of 33%. The change in segment profit primarily reflected the decrease in gross profit associated with the lower sales in 2012 and the related reduction in factory utilization, particularly for SMD’s specialized graphite manufacturing operation. The segment’s operating expenses were essentially flat with a year ago.

Unallocated general and administrative expenses

Unallocated general and administrative expenses totaled $56.8 million for the year ended December 31, 2012 compared to $51.4 million for the year ended December 31, 2011. For the year ended December 31, 2012, unallocated general and administrative expenses included a $3.9 million charge associated with compensation to which the Company’s former chief executive officer was entitled in connection with the succession and transition plan as noted above. In addition, information technology expenses increased by $1.2 million in 2012.

Year ended December 31, 2011 compared to year ended December 31, 2010

The following table sets forth the results of operations and the relationship between various components of operations, stated as a percent of net sales, for the years ended December 31, 2011 and 2010. The Company’s historical financial data was derived from its consolidated financial statements and related notes included elsewhere in this annual report.

 

(Dollars in thousands)

   2011     2010  
         % of net sales            % of net sales  

Net sales

   $ 749,259        100.0   $ 688,416         100.0

Cost of sales

     423,329        56.5        377,773         54.9   
  

 

 

   

 

 

   

 

 

    

 

 

 

Gross profit

     325,930        43.5        310,643         45.1   

Selling, general and administrative expenses

     140,847        18.8        147,051         21.4   

Engineering, research and development expenses

     47,980        6.4        43,934         6.4   

Amortization of intangible assets

     10,225        1.4        13,231         1.9   
  

 

 

   

 

 

   

 

 

    

 

 

 

Operating income

     126,878        16.9        106,427         15.5   

Interest expense, net

     659        0.1        3,516         0.5   

Other (income) expense, net

     (1,745     (0.2     1,430         0.2   
  

 

 

   

 

 

   

 

 

    

 

 

 

Income before income taxes and equity in net loss of affiliates

     127,964        17.1        101,481         14.7   

Income tax expense

     4,217        0.6        15,006         2.2   

Equity in net (income) loss of affiliates

     (499     (0.1     1,353         0.2   
  

 

 

   

 

 

   

 

 

    

 

 

 

Net income

   $ 124,246        16.6      $ 85,122         12.4   
  

 

 

   

 

 

   

 

 

    

 

 

 

Net sales For the year ended December 31, 2011, net sales were $749.3 million, up $60.9 million, or 9%, from sales for the year ended December 31, 2010. Sales growth in 2011 reflected generally positive trends in the Company’s core semiconductor markets, although the Company experienced lower net sales in the latter half of 2011 due to a slowdown in industry capital spending and sluggish production rates. The Company’s three operating segments experienced mixed sales results. See the “Segment analysis” included below in this section for additional detail.

 

46


Table of Contents

The sales increase in 2011 included favorable foreign currency translation effects of $34.6 million related to the year-over-year strengthening of most international currencies versus the U.S. dollar, most notably the Japanese yen, Korean won, Singaporean dollar, Euro and Taiwanese dollar. Excluding these factors, net sales rose approximately 4% in 2011 when compared to 2010.

On a geographic basis, total sales to North America were 29%, Asia Pacific 38%, Europe 14% and Japan 19% in 2011. Total sales to North America were 29%, Asia Pacific 39%, Europe 14% and Japan 18% in 2010. When comparing 2011 to 2010, all regions experienced year-over-year sales increases. Net sales to customers in North America, Asia, Europe, and Japan increased 10%, 5%, 12%, and 12%, respectively, from 2010 to 2011. A portion of the Asia, Europe, and Japan increases related to favorable foreign currency translation effects. Net of favorable currency translation effects, sales increased 0%, 7%, and 2% for Asia, Europe, and Japan, respectively.

Demand drivers for the Company’s business primarily consist of semiconductor fab utilization and production (unit-driven) as well as capital spending for new or upgraded semiconductor fabrication equipment and facilities (capital-driven). The Company analyzes sales of its products by these two key drivers. Sales of unit-driven products increased 9%, while sales of capital-driven products increased 8%, in 2011 as compared with 2010. Sales of unit-driven products represented 63% of sales and sales of capital-driven products represented 37% of total sales in 2011. This compares to a unit-driven to capital-driven ratio of 63:37 for 2010.

The Company believes the sales increases noted above were primarily volume driven. Based on the information available, the Company believes it improved or maintained market share for its products in 2011 and that the effect of selling price erosion was nominal. Additionally, given that no single customer accounts for more than 10% of the Company’s annual revenue, the increase in sales has not been driven by any one particular customer or group of customers, but rather by trends in the semiconductor and other high-technology sectors as a whole.

Gross profit Gross profit for 2011 increased by $15.3 million, to $325.9 million, an increase of 5% from $310.6 million for 2010. The gross margin rate for 2011 was 43.5% versus 45.1% for 2010.

The year-over-year sales increase, along with a slight improvement in sales mix, accounted for the Company’s higher gross profit in 2011. These factors were offset by reduced levels of factory utilization, underlying the lower comparative gross margin rate in 2011 when compared to 2010.

Selling, general and administrative expenses Selling, general and administrative (SG&A) expenses for 2011 decreased $6.2 million, or 4%, to $140.8 million from $147.1 million in 2010. SG&A expenses, as a percent of net sales, decreased to 18.8% from 21.4% a year earlier, reflecting the increase in net sales and decrease in SG&A expenditure levels.

The decrease in SG&A expenses was due to lower employee costs of $3.1 million, mainly reflecting decreases in incentive compensation in 2011, as well as decreases in professional fees of $2.1 million and lower sales commission expense of $1.5 million. In addition, the decrease in SG&A costs is partially offset by unfavorable foreign currency translation effects of $5.3 million.

A $0.7 million gain associated with the pension curtailment of the Company’s Japan defined benefit pension plan was included in the twelve-month period ended December 31, 2011. Refer to Note 13 to the Company’s consolidated financial statements for further discussion.

Engineering, research and development expenses Engineering, research and development (ER&D) expenses related to the support of current product lines and the development of new products and manufacturing technologies increased by $4.0 million, or 9%, to $48.0 million in 2011 compared to $43.9 million in 2010. ER&D expenses as a percent of net sales were 6.4% compared to 6.4% a year ago, with the increase in ER&D expenditure levels offset by the effect of increased net sales.

 

47


Table of Contents

The increase in ER&D expense mainly reflected higher employee costs and increases in overall ER&D expense levels related to the support of current product lines and the development of new products and manufacturing technologies. In addition, the increase in ER&D costs reflected unfavorable foreign currency translation effects of $0.8 million.

Amortization of intangible assets Amortization of intangible assets was $10.2 million in 2011 compared to $13.2 million for 2010. The decline reflected the absence of amortization expense for certain acquired developed technology and trade name assets that became fully amortized in either 2010 or 2011.

Interest expense Interest expense was $0.9 million in 2011 compared to net interest expense of $3.6 million in 2010. The variance was mainly due to absence of outstanding debt in 2011. Interest expense in 2011 included a charge of $0.3 million for the accelerated write-off of previously capitalized debt issuance costs associated with the replacement of the Company’s existing revolving credit facility with a new agreement. Interest expense for 2010 also included a charge for the accelerated write-off of previously capitalized debt issuance costs in the amount of $0.9 million

Interest income Interest income was $0.2 million in 2011 compared to interest income of $0.1 million in 2010. The increase was due to a considerably higher average invested cash balance in 2011.

Other (income) expense, net Other income was $1.7 million in 2011 compared to other expense of $1.4 million in 2010. In 2011, other income primarily related to a $1.5 million gain recorded in connection with the sale of an equity investment.

In 2010, other expense reflected foreign currency transaction losses of $2.3 million, primarily related to the remeasurement of yen-denominated assets and liabilities held by the Company’s U.S. entity, offset in part by gains of $0.9 million on the sale of the Company’s interest in two equity investments.

Income tax expense The Company recorded income tax expense of $4.2 million in 2011 compared to an income tax expense of $15.0 million in 2010. The Company’s year-to-date effective tax rate was 3.3% in 2011, compared to 14.8% in 2010.

In 2011, the Company’s effective tax rate was lower than the U.S. statutory rate of 35% due mainly to the $41.0 million benefit to tax expense from the reduction of the Company’s deferred tax asset valuation allowance. Management concluded it was more likely than not that the Company would realize the U.S. net deferred tax assets and thus released the valuation allowance on most of its U.S. deferred tax assets. The $41.0 million of benefit to tax expense comprised $19.8 million from the U.S. utilization of deferred tax assets during the year, $0.2 million from the utilization of foreign deferred tax assets, and $21.0 million is attributed to the release of the valuation allowance at December 31, 2011.

In 2010, the Company’s effective tax rate was lower than U.S. statutory rates mainly due to the $13.7 million decrease in the Company’s U.S. deferred tax asset valuation allowance. Management concluded the Company would realize certain deferred tax assets related to current taxes payable and thus released the allowance for a portion of its U.S. deferred tax assets. The effective tax rate also benefitted from the Company’s tax holiday in Malaysia whereby, as a result of employment commitments, research and development expenditures and capital investments made by the Company, income from certain manufacturing activities in Malaysia is exempt from income taxes. The effective tax rate was also affected by lower tax rates in certain of the Company’s taxable jurisdictions.

Equity in net (income) loss of affiliates The Company recorded equity in the net income of affiliates of $0.5 million in 2011 compared to equity in the net loss of affiliates of $1.4 million in 2010. Results in 2010 included an impairment loss of $2.2 million as the Company determined that one of its investments accounted under the equity method was partially impaired.

 

48


Table of Contents

Net income attributable to Entegris, Inc. Net income attributable to the Company was $123.8 million, or $0.91 per diluted share, in 2011 compared to net income attributable to the Company of $84.4 million, or $0.63 per diluted share, in 2010. The improvement mainly reflects the Company’s higher net sales and related gross profit increase, slightly reduced operating expenses and lower income tax expense, each described in greater detail above.

Non-GAAP Measures Information The Company’s consolidated financial statements are prepared in conformity with accounting principles generally accepted in the United States (GAAP). The Company also utilizes certain non-GAAP financial measures as a complement to financial measures provided in accordance with GAAP in order to better assess and reflect trends affecting the Company’s business and results of operations. See “Non-GAAP Information” included below in this section for additional detail, including the reconciliation of GAAP measures to the Company’s non-GAAP measures.

The Company’s non-GAAP financial measures are Adjusted EBITDA and Adjusted Operating Income together with related measures thereof, and non-GAAP Earnings Per Share (EPS).

Adjusted EBITDA increased 11% to $163.2 million in 2011, compared to $147.6 million in 2010. Adjusted EBITDA, as a percent of net sales, increased to 21.8% from 21.4% a year earlier. Adjusted Operating Income increased 14% to $136.4 million in 2011, compared to $119.7 million in 2010. Adjusted Operating Income, as a percent of net sales, increased to 18.2% from 17.4% a year earlier. Non-GAAP Earnings Per Share increased 11% to $0.79 in 2011, compared to $0.71 in 2010.

Segment Analysis

The following table and discussion concern the results of operations of the Company’s three business segments for the years ended December 31, 2011 and 2010. See Note 16 “Segment Reporting” to the consolidated financial statements for additional information on the Company’s three segments.

 

(In thousands)

   2011      2010  

Contamination Control Solutions:

     

Net sales

   $ 483,958       $ 435,858   

Segment profit

     140,313         122,891   

Microenvironments:

     

Net sales

   $ 182,150       $ 182,485   

Segment profit

     29,959         38,930   

Specialty Materials:

     

Net sales

   $ 83,151       $ 70,073   

Segment profit

     18,255         11,080   

Contamination Control Solutions (CCS)

For the year ended December 31, 2011, CCS net sales increased 11%, to $484.0 million, from $435.9 million in the comparable period last year. CCS reported a segment profit of $140.3 million for the year ended December 31, 2011 compared to $122.9 million in the comparable period last year, an increase of 14%.

CCS sales improved, particularly in the first half of the year, for all product groups, most notably for fluid handling components and systems, and liquid filtration products.

The increase in sales volume and the resulting improvement in gross profit primarily accounted for the year-over-year change in the segment’s profitability. CCS operating expenses decreased 2%, mainly due to lower selling and engineering, research and development costs.

 

49


Table of Contents

Microenvironments (ME)

For the year ended December 31, 2011, ME net sales remained relatively flat to $182.2 million, from $182.5 million in the comparable period last year. ME reported a segment profit of $30.0 million for the year ended December 31, 2011 compared to $38.9 million in the comparable period last year, a decrease of 23%.

The change in net sales reflected lower sales of data storage and 200mm wafer products, offset partly by higher sales of 300mm process and shipper products.

A decline in gross profit, reflecting an unfavorable sales mix and higher manufacturing expenses, and engineering, development and research costs on new products, accounted for the year-over-year decline in the segment’s segment profit. ME operating expenses in 2011 were flat when compared to the year-ago amounts.

Specialty Materials (SMD)

For the year ended December 31, 2011, SMD net sales increased 19%, to $83.2 million, up from $70.1 million in the year ended December 31, 2010. SMD reported a segment profit of $18.3 million in 2011 compared to $11.1 million in 2010, an increase of 65%.

The sales increase and related improvement in profitability reflected higher demand for both SMD’s specialty coated and graphite-based products used in semiconductor manufacturing and in other industrial markets. The increase in gross profit reflected the sharp increase in sales as well as improved factory utilization. In addition, SMD’s operating expenses decreased 5% in 2011 compared to 2010, mainly reflecting lower selling and engineering, research and development costs.

Unallocated general and administrative expenses

Unallocated general and administrative expenses totaled $51.4 million for the year ended December 31, 2011 compared to $53.2 million for the year ended December 31, 2010.

Quarterly Results of Operations

The following table presents selected data from the Company’s consolidated statements of operations for the eight quarters ended December 31, 2012. This unaudited information has been prepared on the same basis as the audited consolidated financial statements appearing elsewhere in this annual report. All adjustments that management considers necessary for the fair presentation of the unaudited information have been included in the quarters presented.

 

50


Table of Contents

QUARTERLY STATEMENTS OF OPERATIONS DATA (UNAUDITED)

 

    2011     2012  
    Q1     Q2     Q3     Q4     Q1     Q2     Q3     Q4  
(In thousands)                                                

Net sales

  $ 203,125      $ 209,198      $ 173,014      $ 163,922      $ 175,403      $ 188,233      $ 184,449      $ 167,818   

Gross profit

    88,345        95,143        74,828        67,614        76,244        82,746        81,932        66,461   

Selling, general and administrative expenses

    35,790        39,126        33,533        32,398        35,048        35,989        39,095        37,273   

Engineering, research and development expenses

    12,532        12,462        11,957        11,029        11,989        12,726        13,314        12,911   

Amortization of intangible assets

    2,689        2,569        2,505        2,462        2,450        2,420        2,389        2,335   

Operating profit

    37,334        40,986        26,833        21,725        26,757        31,611        27,134        13,942   

Net income attributable to Entegris, Inc.

    29,175        32,522        21,988        40,161        17,859        21,673        18,037        11,256   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 
    Q1     Q2     Q3     Q4     Q1     Q2     Q3     Q4  
(Percent of net sales)                                                

Net sales

    100.0     100.0     100.0     100.0     100.0     100.0     100.0     100.0

Gross profit

    43.5        45.5        43.2        41.2        43.5        44.0        44.4        39.6   

Selling, general and administrative expenses

    17.6        18.7        19.4        19.8        20.0        19.1        21.2        22.2   

Engineering, research and development expenses

    6.2        6.0        6.9        6.7        6.8        6.8        7.2        7.7   

Amortization of intangibles

    1.3        1.2        1.4        1.5        1.5        1.3        1.3        1.4   

Operating profit

    18.4        19.6        15.5        13.3        15.3        16.8        14.7        8.3   

Net income attributable to Entegris, Inc.

    14.4        15.5        12.7        24.5        10.2        11.5        9.8        6.7   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

The Company’s quarterly results of operations have been, and will likely continue to be, subject to significant fluctuations due to myriad factors, many of which are beyond the Company’s control. The variability in sales, and its corresponding effect on gross profit, is the single most important factor underlying the changes in the Company’s operating income over the past eight quarters. The fourth quarter of 2011 included a tax benefit of $21.0 million attributable to the release of the valuation allowance on certain deferred tax assets.

The Company’s financial results for the two-year period ended December 31, 2012 reflected the improvement in both the capital and unit-driven segments of the semiconductor industry that began during the second half of 2009. Quarterly sales of the Company’s products and services reached their peak in the second quarter of 2011, before declining over the latter half of 2011 due to a slowdown in semiconductor industry capital spending and sluggish production rates. 2012, which continued to be characterized by sluggish semiconductor production rates and industry capital spending, saw slowly increasing quarterly sales levels from late 2011 levels before declining in the fourth quarter of 2012.

Liquidity and Capital Resources

The Company has historically financed its operations and capital requirements through cash flow from its operating activities, long-term loans, lease financing and borrowings under domestic and international short-term lines of credit. In fiscal 2000 and 2009, the Company raised capital via public offerings of its common stock.

Operating activities

Net cash flow provided by operating activities totaled $115.2 million for the year ended December 31, 2012. Cash generated by the Company’s operations included net income of $68.8 million, as adjusted for the impact of various non-cash charges, primarily depreciation and amortization of $37.6 million and share-based

 

51


Table of Contents

compensation expense of $9.9 million. The net impact on cash flow from operations from changes in operating assets reduced cash otherwise generated by the Company’s operations.

Working capital was $486.1 million at December 31, 2012, which included $350.4 million in cash and cash equivalents and short-term investments, an increase from $410.4 million as of December 31, 2011, which included $273.6 million in cash and cash equivalents.

Accounts receivable decreased by $13.2 million during 2012, or $10.6 million net of foreign currency translation adjustments. This decrease reflects the year-over-year decline sales of the Company’s products and an improvement in the Company’s collections as reflected in its days sales outstanding measure (DSO). The Company’s DSO was 51 days at December 31, 2012 compared to 60 days at the beginning of the year.

Inventories at December 31, 2012 increased by $5.2 million from a year earlier, or $6.1 million after taking into account the impact of foreign currency translation adjustments and the provision for excess and obsolete inventory. The increase mainly reflects higher levels of finished goods.

Accounts payable and accrued expenses were $9.2 million higher than a year ago, or $6.3 million net of foreign currency translation adjustments. The Company made income tax payments, net of refunds, of $29.7 million in 2012.

Investing activities Cash flow used in investing activities totaled $72.5 million in 2012. Acquisition of property and equipment totaled $49.9 million, which primarily reflected significant investments in equipment and tooling to manufacture 450mm wafer handling products and to establish an advanced membrane manufacturing and development center for critical filtration applications.

As of December 31, 2012, the Company expects its capital expenditures in 2013 to be approximately $60 million to $70 million, including approximately $40 million to complete the Company’s 450mm technology center and advanced membrane and coatings facility. Under the terms of its revolving credit facility, the Company is restricted from making capital expenditures in excess of $85 million during any fiscal year. The Company does not anticipate that this limit on capital expenditures will have an adverse effect on the Company’s operations.

The Company had net purchases of $20.0 million less proceeds from maturities of commercial paper classified as short-term investments. Net of cash acquired, the Company expended $3.0 million to acquire the remaining 50% of an equity method investee in which it had previously owned a 50% equity interest.

Financing activities Cash provided by financing activities totaled $10.9 million during 2012. The Company received proceeds of $7.4 million in connection with common shares issued under the Company’s stock plans. Cash provided by financing activities also included $3.9 million related to excess tax benefits from employee stock plans, partially offset by the purchase of shares of the Company’s common stock at a total cost of $0.4 million under the stock repurchase program authorized by the Company’s Board of Directors in 2011.

The Company has a revolving credit facility maturing June 9, 2014, with a revolving credit commitment of $30.0 million. As of December 31, 2012, the Company had no outstanding borrowings and $0.2 million undrawn on outstanding letters of credit under the revolving credit facility. Through December 31, 2012, the Company was in compliance with all applicable financial covenants included in the terms of the revolving credit facility.

The Company also has a line of credit with two banks that provide for borrowings of Japanese yen for the Company’s Japanese subsidiary equivalent to an aggregate of approximately $14.0 million. There were no outstanding borrowings under these lines of credit at December 31, 2012.

On October 26, 2011, the Company announced that its Board of Directors had authorized the repurchase of up to an aggregate of $50.0 million of the Company’s common stock in open market transactions and in accordance with a pre-arranged stock trading plan established on November 22, 2011 for the purpose of repurchasing up to $50 million of the registrant’s common stock in accordance with Rule 10b5-1 under the Securities Exchange Act

 

52


Table of Contents

of 1934, as amended (the “Plan”). The Plan commenced on November 28, 2011 and the expiration date of the Plan was extended until February 8, 2013. There have been no repurchases of the Company’s common stock under the Plan during the quarter ended December 31, 2012.

On December 12, 2012, the Board of Directors authorized a repurchase program for 2013 covering up to an aggregate of $50.0 million of the Company’s common stock in open market transactions and in accordance with one or more pre-arranged stock trading plans established in accordance with Rule 10b5-1 under the Securities Exchange Act of 1934, as amended. The repurchase program for 2013 will expire in December 2013 unless it is terminated or extended. The initial pre-arranged stock trading plan was established on February 19, 2013 and will expire August 19, 2013 and will cover the repurchase of up to $30 million of the registrant’s common stock.

At December 31, 2012, the Company’s shareholders’ equity stood at $694.8 million, up 14% from $608.2 million at the beginning of the year. The increase reflected net income attributable to the Company of $68.8 million, additional paid-in capital of $9.9 million associated with the Company’s share-based compensation expense, $7.4 million received in connection with common shares issued under the Company’s stock option and employee stock purchase plans, and a tax benefit associated with stock plans of $3.9 million, partially offset by the repurchase and retirement of its common stock of $0.4 million and foreign currency translation effects of $2.5 million.

As of December 31, 2012, the Company’s sources of available funds were its cash and cash equivalents of $330.4 million, short-term investments of $20.0 million, funds available under its revolving credit facility and international credit facilities and cash flow generated from operations.

The Company believes that its cash and cash equivalents, short-term investments, funds available under its revolving credit facility and international credit facilities and cash flow generated from operations will be sufficient to meet its working capital and investment requirements for at least the next twelve months. If available liquidity is not sufficient to meet the Company’s operating and debt service obligations as they come due, management would need to pursue alternative arrangements through additional equity or debt financing in order to meet the Company’s cash requirements. There can be no assurance that any such financing would be available on commercially acceptable terms.

The Company considers the undistributed earnings of its foreign subsidiaries as of December 31, 2012 to be indefinitely reinvested. As of December 31, 2012, the amount of cash and cash equivalents associated with indefinitely reinvested foreign earnings was $113.0 million. Amounts held by foreign subsidiaries are generally subject to U.S. income taxation on repatriation to the United States. The Company does not anticipate the need to repatriate funds to the United States to satisfy domestic liquidity needs arising in the ordinary course of business and believes its existing balances of domestic cash and cash equivalents, and short-term investments and operating cash flows will be sufficient to meet the Company’s domestic cash needs for the next twelve months.

New Accounting Pronouncements

The Company does not anticipate that recently issued accounting guidance that has not yet been adopted will have a material impact on its consolidated financial statements. Refer to Note 1 to the Company’s consolidated financial statements for a discussion of accounting pronouncements implemented in 2012.

 

53


Table of Contents

Contractual Obligations

The following table summarizes the maturities of the Company’s significant financial obligations as of December 31, 2012:

 

(In thousands)

   Total      2013      2014      2015      2016      2017      Thereafter  

Pension obligations

   $ 12,225       $ 25       $ 285       $ 320       $ 219       $ 311       $ 11,065   

Capital purchase obligations 1

     36,330         36,330         —           —           —           —        

Operating leases

     25,813         8,288         4,361         4,073         3,221         2,566         3,304   
  

 

 

    

 

 

    

 

 

    

 

 

    

 

 

    

 

 

    

 

 

 

Total

   $ 74,368       $ 44,643       $ 4,646       $ 4,393       $ 3,440       $ 2,877       $ 14,369   
  

 

 

    

 

 

    

 

 

    

 

 

    

 

 

    

 

 

    

 

 

 

Unrecognized tax benefits 2

                    

 

1  

Capital purchase obligations represent commitments for the construction or purchase of property, plant and equipment. They were not recorded as liabilities on the Company’s consolidated balance sheet as of December 31, 2011, as the Company had not yet received the related goods or taken title to the property.

 

2  

The Company had $5.4 million of total gross unrecognized tax benefits at December 31, 2012. The timing of any payments associated with these unrecognized tax benefits will depend on a number of factors. Accordingly, the Company cannot make reasonably reliable estimates of the amount and period of potential cash settlements, if any, with taxing authorities and are not included in the table above.

Non-GAAP Information The Company’s consolidated financial statements are prepared in conformity with accounting principles generally accepted in the United States (GAAP).

The Company also provides certain non-GAAP financial measures as a complement to financial measures provided in accordance with GAAP in order to better assess and reflect trends affecting the Company’s business and results of operations. Regulation G, “ Conditions for Use of Non-GAAP Financial Measures ,” and other regulations under the Securities Exchange Act of 1934, as amended, define and prescribe the conditions for use of certain non-GAAP financial information. The Company provides non-GAAP financial measures of Adjusted EBITDA and Adjusted Operating Income together with related measures thereof, and non-GAAP Earnings Per Share (EPS).

Adjusted EBITDA, a non-GAAP term, is defined by the Company as net income attributable to Entegris, Inc. before (1) net income attributable to noncontrolling interest, (2) equity in net income of affiliates, (3) income tax expense (4) other income, net, (5) interest (income) expense, net, (6) gain associated with pension curtailment, (7) charge associated with CEO succession and transition plan, (8) amortization of intangible assets and (9) depreciation. Adjusted Operating Income, another non-GAAP term, is defined by the Company as its Adjusted EBITDA less depreciation. The Company also utilizes non-GAAP measures whereby Adjusted EBITDA and Adjusted Operating Income are each divided by the Company’s net sales to derive Adjusted EBITDA Margin and Adjusted Operating Margin, respectively.

Non-GAAP EPS, a non-GAAP term, is defined by the Company as net income attributable to Entegris, Inc. before (1) amortization of intangible assets, (2) accelerated write-off of debt issuance costs, (3) gain associated with equity investments, (4) gain associated with pension curtailment, (5) charge associated with CEO succession and transition plan, (6) the tax effect of the aforementioned adjustments to net income attributable to Entegris, Inc. and (7) reversal of deferred tax valuation allowance divided by weighted common shares outstanding.

The Company provides supplemental non-GAAP financial measures to better understand and manage its business and believes these measures provide investors and analysts additional and meaningful information for the assessment of the Company’s ongoing results. Management also uses these non-GAAP measures to assist in the evaluation of the performance of its business segments and to make operating decisions.

 

54


Table of Contents

Management believes the Company’s non-GAAP measures help indicate the Company’s baseline performance before certain gains, losses or other charges that may not be indicative of the Company’s business or future outlook and offer a useful view of business performance in that the measures provide a more consistent means of comparing performance. The Company believes the non-GAAP measures aid investors’ overall understanding of the Company’s results by providing a higher degree of transparency for such items and providing a level of disclosure that will help investors understand how management plans, measures and evaluates the Company’s business performance. Management believes that the inclusion of non-GAAP measures provides consistency in its financial reporting and facilitates investors’ understanding of the Company’s historical operating trends by providing an additional basis for comparisons to prior periods.

Management uses Adjusted EBITDA and Adjusted Operating Income to assist it in evaluations of the Company’s operating performance by excluding items that management does not consider as relevant in the results of its ongoing operations. Internally, these non-GAAP measures are used by management for planning and forecasting purposes, including the preparation of internal budgets; for allocating resources to enhance financial performance; for evaluating the effectiveness of operational strategies; and for evaluating the Company’s capacity to fund capital expenditures, secure financing and expand its business.

In addition, and as a consequence of the importance of these non-GAAP financial measures in managing its business, the Company’s Board of Directors uses non-GAAP financial measures in the evaluation process to determine management compensation.

The Company believes that certain analysts and investors use Adjusted EBITDA, Adjusted Operating Income and non-GAAP EPS as supplemental measures to evaluate the overall operating performance of firms in the Company’s industry. Additionally, lenders or potential lenders use Adjusted EBITDA measures to evaluate the Company’s creditworthiness.

The presentation of non-GAAP financial measures is not meant to be considered in isolation, as a substitute for, or superior to, financial measures or information provided in accordance with GAAP. Management strongly encourages investors to review the Company’s consolidated financial statements in their entirety and to not rely on any single financial measure.

Management notes that the use of non-GAAP measures has limitations:

First, non-GAAP financial measures are not standardized. Accordingly, the methodology used to produce the Company’s non-GAAP financial measures is not computed under GAAP and may differ notably from the methodology used by other companies. For example, the Company’s non-GAAP measure of Adjusted EBITDA may not be directly comparable to EBITDA or an adjusted EBITDA measure reported by other companies.

Second, the Company’s non-GAAP financial measures exclude items such as amortization and depreciation that are recurring. Amortization of intangibles and depreciation have been, and will continue to be for the foreseeable future, a significant recurring expense with an impact upon the Company’s results of operations, notwithstanding the lack of immediate impact upon cash flows.

Third, there is no assurance the Company will not have future restructuring activities, gains or losses on sale of equity investments, accelerated write-offs of debt-issuance costs or similar items and, therefore, may need to record additional charges (or credits) associated with such items, including the tax effects thereon. The exclusion of these items from the Company’s non-GAAP measures should not be construed as an implication that these costs are unusual, infrequent or non-recurring.

Management considers these limitations by providing specific information regarding the GAAP amounts excluded from these non-GAAP financial measures and evaluating these non-GAAP financial measures together with their most directly comparable financial measures calculated in accordance with GAAP. The calculations of Adjusted EBITDA, Adjusted operating income, and non-GAAP EPS, and reconciliations between these financial measures and their most directly comparable GAAP equivalents are presented below in the accompanying tables.

 

55


Table of Contents

The reconciliation of GAAP measures to Adjusted Operating Income and Adjusted EBITDA for the years ended December 31, 2012 and 2011 are presented below:

 

(Dollars in thousands)

   2012     2011  

Net sales

   $ 715,903      $ 749,259   
  

 

 

   

 

 

 

Net income attributable to Entegris, Inc.

   $ 68,825      $ 123,846   

Adjustments to net income attributable to Entegris, Inc.

    

Net income attributable to noncontrolling interest

     —          400   

Equity in net income of affiliates

     (3     (499

Income tax expense

     30,881        4,217   

Other income, net

     (249     (1,745

Interest (income) expense, net

     (10     659   
  

 

 

   

 

 

 

GAAP – Operating income

     99,444        126,878   

Gain associated with pension curtailment

     —          (726

Charge associated with CEO succession and transition plan

     3,928        —     

Amortization of intangible assets

     9,594        10,225   
  

 

 

   

 

 

 

Adjusted operating income

     112,966        136,377   

Depreciation

     28,013        26,839   
  

 

 

   

 

 

 

Adjusted EBITDA

     140,979        163,216   

Adjusted operating margin

     15.8     18.2

Adjusted EBITDA – as a % of net sales

     19.7     21.8

The reconciliation of GAAP measures to Non-GAAP Earnings per Share for the years ended December 31, 2012 and 2011 are presented below:

 

(Dollars in thousands)    2012     2011  

GAAP net income attributable to Entegris, Inc.

   $ 68,825      $ 123,846   

Adjustments to net income attributable to Entegris, Inc.:

    

Amortization of intangible assets

     9,594        10,225   

Accelerated write-off of debt issuance costs

     —          282   

Gain on sale of equity investment

     (1,522     (1,523

Gain associated with pension curtailment

     —          (726

Charge associated with CEO succession and transition plan

     3,928        —     

Tax effect of adjustments to net income attributable to Entegris, Inc.

     (4,643     (3,355

Reversal of deferred tax valuation allowance (1)

     —          (20,999
  

 

 

   

 

 

 

Non-GAAP net income attributable to Entegris, Inc.

   $ 76,182      $ 107,750   
  

 

 

   

 

 

 

Diluted earnings per common share attributable to Entegris, Inc.

   $ 0.50      $ 0.91   

Effect of adjustments to net income attributable to Entegris, Inc.

   $ 0.05      $ (0.12

Diluted non-GAAP earnings per common share attributable to Entegris, Inc.:

   $ 0.55      $ 0.79   

 

(1)  

This amount represents the reversal of the remaining valuation allowance on certain of the Company’s deferred tax assets. The amount excludes the reversal of the valuation allowance on those deferred tax assets realized in 2011 based on earnings in those years.

 

56


Table of Contents

Quantitative and Qualitative Disclosure About Market Risks

Entegris’ principal financial market risks are sensitivities to interest rates and foreign currency exchange rates. The Company’s interest-bearing cash equivalents and short-term investments are subject to interest rate fluctuations. The Company’s cash equivalents are instruments with maturities of three months or less. A 100 basis point change in interest rates would potentially increase or decrease annual net income by approximately $2.2 million annually.

The cash flows and results of operations of the Company’s foreign-based operations are subject to fluctuations in foreign exchange rates. The Company occasionally uses derivative financial instruments to manage the foreign currency exchange rate risks associated with its foreign-based operations. At December 31, 2012, the Company had no net exposure to any foreign currency forward contracts.

 

57


Table of Contents
Item 7a. Quantitative and Qualitative Disclosures about Market Risk.

The information required by this item can be found under the subcaption “Quantitative and Qualitative Disclosure About Market Risks” of “Management’s Discussion and Analysis of Financial Condition and Results of Operations” in Item 7.

 

Item 8. Financial Statements and Supplementary Data.

The information called for by this item is set forth in the Consolidated Financial Statements covered by the Report of Independent Registered Public Accounting Firm at the end of this report.

 

Item 9. Changes in and Disagreements With Accountants on Accounting and Financial Disclosure.

This item is not applicable.

 

58


Table of Contents
Item 9A. Controls and Procedures.

DISCLOSURE CONTROLS AND PROCEDURES

Management evaluated the effectiveness of our disclosure controls and procedures (as defined in Rules 13a-15(e) and 15d-15(e) under the Securities Exchange Act of 1934, as amended, (the Exchange Act)), as of December 31, 2012, the end of the fiscal period covered by this report on Form 10-K. The Securities and Exchange Commission, or SEC, rules define the term “disclosure controls and procedures” to mean a company’s controls and other procedures that are designed to ensure that information required to be disclosed in the reports it files or submits under the Exchange Act is recorded, processed, summarized and reported within the time period specified in the SEC’s rules and forms. Disclosure controls and procedures include, without limitation, controls and procedures designed to ensure that information required to be disclosed by a company in its reports filed under the Exchange Act is accumulated and communicated to the company’s management, including its principal executive and principal financial officers, or persons performing similar functions, as appropriate to allow timely decisions regarding required disclosure.

Based on the evaluation of the effectiveness of our disclosure controls and procedures by our management team with the participation of the Chief Executive Officer and the Chief Financial Officer, our Chief Executive Officer and our Chief Financial Officer have concluded that, as of the end of the period covered by this report, our disclosure controls and procedures were effective to provide reasonable assurance that information required to be disclosed in the reports that we file or submit under the Exchange Act is recorded, processed, summarized and reported, within the time periods specified in the SEC rules and forms and is accumulated and communicated to management, including the principal executive officer and principal financial officer, as appropriate, to allow timely decisions regarding required disclosure.

 

(a) MANAGEMENT’S ANNUAL REPORT ON INTERNAL CONTROL OVER FINANCIAL REPORTING

Management is responsible for establishing and maintaining an adequate system of internal control over financial reporting of the Company. This system of internal financial reporting controls is designed to provide reasonable assurance that assets are safeguarded and transactions are properly recorded and executed in accordance with management’s authorization. The design, monitoring and revision of the system of internal financial reporting controls involves, among other things, management’s judgments with respect to the relative cost and expected benefits of specific control measures. The effectiveness of the control system is supported by the selection, retention and training of qualified personnel and an organizational structure that provides an appropriate division of responsibility and formalized procedures. The system of internal accounting controls is periodically reviewed and modified in response to changing conditions. Designated Company employees regularly monitor the adequacy and effectiveness of internal accounting controls.

Because of its inherent limitations, a system of internal control over financial reporting can provide only reasonable assurance and may not prevent or detect misstatements. Further, because of changes in conditions, the effectiveness of internal controls over financial reporting may vary over time. Our system contains control-monitoring mechanisms, and actions are taken to correct deficiencies as they are identified.

Management conducted an evaluation of the effectiveness of the system of internal control over financial reporting based on the framework in Internal Control—Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (“COSO”). Based on this evaluation, management concluded that the Company’s system of internal control over financial reporting was effective as of December 31, 2012.

KPMG LLP, the independent registered public accounting firm which audited the financial statements included in this annual report, has issued an attestation report on our internal control over financial reporting.

 

59


Table of Contents
(b) CHANGES IN INTERNAL CONTROL OVER FINANCIAL REPORTING

There was no change in the Company’s internal control over financial reporting during the most recently completed fiscal quarter that has materially affected, or is reasonably likely to materially affect, internal controls over financial reporting.

 

Item 9B. Other Information.

None.

 

60


Table of Contents

PART III

 

Item 10. Directors, Executive Officers and Corporate Governance.

The information called for by this item with respect to registrant’s directors, including information relating to the independence of certain directors, identification of the audit committee and the audit committee financial expert, and with respect to corporate governance is set forth under the caption “Proposal 1—Election of Directors” and “Corporate Governance”, respectively, in the Company’s definitive Proxy Statement for the Entegris, Inc. Annual Meeting of Stockholders to be held on May 8, 2013, and to be filed with the Securities and Exchange Commission on or about April 5, 2013, which information is hereby incorporated herein by reference.

The information called for by this item with respect to registrant’s compliance with Section 16(a) of the Securities Exchange Act of 1934, as amended, is set forth under the caption “Section 16(a) Beneficial Ownership Reporting Compliance” in the Company’s definitive Proxy Statement for the Entegris, Inc. Annual Meeting of Stockholders to be held on May 8, 2013, and to be filed with the Securities and Exchange Commission on or about April 5, 2013, which information is hereby incorporated herein by reference.

Information called for by this item with respect to registrant’s executive officers is set forth under “Executive Officers of the Registrant” in Item 1 of this report.

At their first meeting following the Merger, on August 10, 2005, our Board of Directors adopted a code of business ethics, The Entegris, Inc. Code of Business Ethics, applicable to all of our executives, directors and employees as well as a set of corporate governance guidelines. The Entegris, Inc. Code of Business Ethics, the Corporate Governance Guidelines and the charters for our Audit & Finance Committee, Governance & Nominating Committee and our Management Development & Compensation Committee all appear on our website at http://www.Entegris.com under “Investors – Corporate Governance”. The Entegris Code of Business Ethics, Corporate Governance Guidelines and committee charters are also available in print to any shareholder that requests a copy. Copies may be obtained by contacting Peter W. Walcott, our Senior Vice President, Secretary and General Counsel through our corporate headquarters. The Company intends to comply with the requirements of Item 5.05 of Form 8-K with respect to any amendment to or waiver of the provisions of the Entegris, Inc. Code of Business Ethics applicable to the registrant’s Chief Executive Officer, Chief Financial Officer or Chief Accounting Officer by posting notice of any such amendment or waiver at the same location on our website.

 

Item 11. Executive Compensation.

The information called for by this item is set forth under the caption “Compensation of Executive Officers” and “Management Development & Compensation Committee Report”, respectively, in the Company’s definitive Proxy Statement for the Entegris, Inc. Annual Meeting of Stockholders to be held on May 8, 2013, and to be filed with the Securities and Exchange Commission on or about April 5, 2013, which information is hereby incorporated herein by reference.

 

61


Table of Contents
Item 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters.

Securities Authorized for Issuance Under Equity Compensation Plans:

As of December 31, 2012, our equity compensation plan information is as follows:

Equity Compensation Plan Information

 

    Number of securities to
be issued upon exercise
of outstanding options,
warrants and rights
    Weighted-average
exercise price of
outstanding options,
warrants and rights
    Number of securities remaining
available for future issuance
under equity compensation
plans (excluding securities
reflected in column (a))
 
Plan category   (a)     (b)     (c)  

Equity compensation plans approved by security holders

    4,367,489      $ 8.20 (1)       7,655,166 (2)  

Equity compensation plans not approved by security holders

    —          —          —     
 

 

 

     

 

 

 

Total

    4,367,489      $ 8.20        7,655,166   
 

 

 

     

 

 

 

 

(1)  

The weighted average exercise price does not take into account the shares issuable upon outstanding restricted stock unit vesting, which have no exercise price.

(2)  

These shares are available under the 2010 Stock Plan for future issuance for stock options, restricted stock units, performance shares and stock awards in accordance with the terms of the 2010 Stock Plan.

The other information called for by this item is set forth under the caption “Ownership of Entegris Common Stock” in the Company’s definitive Proxy Statement for the Entegris, Inc. Annual Meeting of Stockholders to be held on May 8, 2013, and to be filed with the Securities and Exchange Commission on or about April 5, 2013, which information is hereby incorporated herein by reference.

 

Item 13. Certain Relationships and Related Transactions, and Director Independence.

The information called for by this item with respect to certain transactions and relationships between the registrant and directors, executive officers and five percent stockholders is set forth under the caption “Corporate Governance” in the Company’s definitive Proxy Statement for the Entegris, Inc. Annual Meeting of Stockholders to be held on May 8, 2013, and to be filed with the Securities and Exchange Commission on or about April 5, 2013, which information is hereby incorporated herein by reference.

 

Item 14. Principal Accountant Fees and Services.

The information called for by this item with respect to the fees paid to and the services performed by the registrant’s principal accountant is set forth under the caption “Proposal 2 – Ratification of Selection of Independent Registered Public Accounting Firm for 2013” in the Company’s definitive Proxy Statement for the Entegris, Inc. Annual Meeting of Stockholders to be held on May 8, 2013, and to be filed with the Securities and Exchange Commission on or about April 5, 2013, which information is hereby incorporated herein by reference.

 

62


Table of Contents

PART IV

 

Item 15. Exhibits and Financial Statement Schedules.

 

(a) The following documents are filed as a part of this report:

 

  1. Financial Statements. The Consolidated Financial Statements listed under Item 8 of this report and in the Index to Consolidated Financial Statements on page F-1 of this report are incorporated by reference herein.

 

  2. Exhibits.

 

  A. The following exhibits are incorporated by reference:

 

Reg. S-K
Item 601(b)
Reference

  

Document Incorporated

  

Referenced

Document on file

with the

Commission

(2)

   Agreement and Plan of Merger, dated as of March 21, 2005, by and among Entegris, Inc., Mykrolis Corporation and Eagle DE, Inc.    Included as Annex B in the joint proxy statement/prospectus included in S-4 Registration Statement of Entegris, Inc. and Eagle DE, Inc. (No. 333-124719)

(2)

   Agreement and Plan of Merger, dated as of March 21, 2005, by and between Entegris, Inc., and Eagle DE, Inc.    Included as Annex B in the joint proxy statement/prospectus included in S-4 Registration Statement of Entegris, Inc. and Eagle DE, Inc. (No. 333-124719)

(3)

   By-Laws of Entegris, Inc., as amended December 17, 2008    Exhibit 3 to Entegris, Inc. Annual Report on Form 10-K for the fiscal year ended December 31, 2008

(3)

   Amended and Restated Certificate of Incorporation of Entegris, Inc., as amended    Exhibit 3.1 to Entegris, Inc. Annual Report on Form 10-K for the fiscal year ended December 31, 2011

(4)

   Form of certificate representing shares of Common Stock, $.01 par value per share    Exhibit 4.1 to Form S-4 Registration Statement of Entegris, Inc. and Eagle DE, Inc. (No. 333-124719)

 

63


Table of Contents

(4)

   Rights Agreement dated July 26, 2005 between Entegris and Wells Fargo Bank, N.A as rights agent    Exhibit 4.1 to Entegris, Inc. (Entegris Minnesota) Current Report on Form 8-K filed with the Securities and Exchange Commission on July 29, 2005

(10)

   Entegris, Inc. – 2010 Stock Plan, as amended*    Exhibit 10.1 to Entegris, Inc. Quarterly Report on Form 10-Q for the period ended July 3, 2010

(10)

   Entegris, Inc. Outside Directors’ Stock Option Plan*    Exhibit 10.2 to Entegris, Inc. Registration Statement on Form S-1 (No. 333-33668)

(10)

   Entegris, Inc. 2000 Employee Stock Purchase Plan*    Exhibit 10.3 to Entegris, Inc. Registration Statement on Form S-1 (No. 333-33668)

(10)

   Amended and Restated Entegris Incentive Plan*    Exhibit 10.1 to Entegris, Inc. Quarterly Report on Form 10-Q for the period ended June 28, 2008

(10)

   Lease Agreement, dated April 1, 2002 between Nortel Networks HPOCS Inc. and Mykrolis Corporation, relating to Executive office, R&D and manufacturing facility located at 129 Concord Road Billerica, MA    Exhibit 10.1.3 to Mykrolis Corporation’s Quarterly Report on Form 10-Q for the quarter ended March 31, 2002

(10)

   Amendment of Lease between Entegris, Inc. and KBS Rivertech, LLC dated April 1, 2012    Exhibit 10.1 to Entegris, Inc. Quarterly Report on Form 10-Q for the period ended June 30, 2012

(10)

   Amended and Restated Employment Agreement, dated as of May 4, 2005, by and between Mykrolis Corporation and Gideon Argov*    Exhibit 10.13 to Mykrolis Corporation’s Quarterly Report on Form 10-Q for the quarter ended April 2, 2005

(10)

   Fluoropolymer Purchase and Sale Agreement, by and between E.I. Du Pont De Nemours and Company and the Registrant, dated January 1, 2011, as amended    Exhibit 10.2 to Entegris, Inc. Quarterly Report on Form 10-Q for the quarter ended April 2, 2011

(10)

   Credit Agreement, dated June 9, 2011, among Entegris, Inc., Poco Graphite, Inc., the Lenders (as defined therein) and Wells Fargo Bank, NA, as Administrative Agent.    Exhibit 10.1 to Entegris, Inc. Quarterly Report on Form 10-Q for the period ended July 2, 2011

 

64


Table of Contents

(10)

   First Amendment to Credit Agreement, dated August 1, 2012, among the Registrant, Poco Graphite, Inc., the Lenders as defined in the Credit Agreement and Wells Fargo Bank National Association    Exhibit 99.1 to Entegris, Inc. Current Report on Form 8-K filed on August 3, 2012

(10)

   Form of Indemnification Agreement between Entegris, Inc. and each of its executive officers and Directors    Exhibit 10.30 to Entegris, Inc. Annual Report on Form 10-K for the fiscal year ended August 27, 2005

(10)

   Form of Executive Change of Control Termination Agreement between Entegris, Inc. and certain of its executive officers*    Exhibit 10.31 to Entegris, Inc. Annual Report on Form 10-K for the fiscal year ended August 27, 2005

(10)

   Severance Protection Agreement, dated July 26, 2011 between Entegris, Inc. and Gregory B. Graves*    Exhibit 10.2 to Entegris, Inc. Quarterly Report on Form 10-Q for the period ended July 2, 2011

(10)

   Trust Agreement between Entegris, Inc. Fidelity Management Trust Company and Entegris Inc. 401(k) Savings and Profit Sharing Plan Trust, dated December 29, 2007.    Exhibit 10.3 to Entegris, Inc. Annual Report on Form 10-K for the fiscal year ended December 31, 2007

(10)

   Entegris, Inc. 2007 Deferred Compensation Plan*    Exhibit 10.2 to Entegris, Inc. Quarterly Report on Form10-Q for the fiscal period ended June 30, 2007

(10)

   Entegris, Inc. – Form of 2010 RSU Unit Award Agreement*    Exhibit 10.1 to Entegris, Inc. Quarterly Report on Form 10-Q for the fiscal period ended April 3, 2010

(10)

   Entegris, Inc. – Form of 2010 Stock Option Award Agreement*    Exhibit 10.1 to Entegris, Inc. Quarterly Report on Form 10-Q for the fiscal period ended April 3, 2010

(10)

   Fourth Amended and Restated Membrane Manufacture and Supply Agreement, dated January 10, 2011, by and between Entegris, Inc. and Millipore Corporation.    Exhibit 10.1 to Entegris, Inc. Quarterly Report on Form 10-Q for the fiscal period ended April 2, 2011

(10)

   Amended and Restated Supplemental Executive Retirement Plan for Key Salaried Employees*    Exhibit 10.2 to Entegris, Inc. Annual Report on Form 10-K for the fiscal year ended December 31, 2008

 

65


Table of Contents

(10)

   Amendment to Amended and Restated SERP*    Exhibit 10.15 to Entegris, Inc. Annual Report on Form 10-K for the fiscal year ended December 31, 2009.

(10)

   Entegris, Inc. 2012 RSU Unit Award Agreement*    Exhibit 10.2 to Entegris, Inc. Quarterly Report on Form 10-Q for the fiscal period ended March 31, 2012

(10)

   Entegris, Inc. 2012 Stock Option Grant Agreement*    Exhibit 10.3 to Entegris, Inc. Quarterly Report on Form 10-Q for the fiscal period ended March 31, 2012

(10)

   Entegris, Inc. 401(k) Savings and Profit Sharing Plan (2012 Restatement)*    Exhibit 10.2 to Entegris, Inc. Quarterly Report on Form 10-Q for the fiscal period ended March 31, 2012

 

* A “management contract or compensatory plan”

 

66


Table of Contents
  B. The Company hereby files as exhibits to this Annual Report on Form 10-K the following documents:

Reg. S-K

 

Item 601(b)

 

Reference

  

Exhibit No.

  

Documents Filed Herewith

(10)        10.1    Executive Employment Agreement, effective November 28, 2012, between the Registrant and Bertrand Loy*
(10)        10.2    2011 RSU Unit Award Agreement*
(10)        10.3    2011 Stock Option Award Agreement*
(21)        21    Subsidiaries of Entegris, Inc.
(23)        23    Consent of Independent Registered Public Accounting Firm
(24)        24    Power of Attorney by the Directors of Entegris, Inc.
(31)        31.1    Certification required by Rule 13a-14(a) in accordance with Section 302 of the Sarbanes—Oxley Act of 2002.
(31)        31.2    Certification required by Rule 13a-14(a) in accordance with Section 302 of the Sarbanes—Oxley Act of 2002.
(32)        32.1    Certification required by Rule 13a-14(b) and 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002.
(32)        32.2    Certification required by Rule 13a-14(b) and 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002.
(101)        101.1    Interactive data files pursuant to Rule 405 of Regulation S-T, formatted in XBRL (Extensible Business Reporting Language): (i) the Consolidated Balance Sheets at December 31, 2012 and 2011, (ii) the Consolidated Statement of Operations for the years ended December 31, 2012, 2011 and 2010, (iii) the Consolidated Statements of Equity for the years ended December 31, 2012, 2011 and 2010, (iv) the Consolidated Statements of Comprehensive Income for the years ended December 31, 2012, 2011 and 2010, (v) the Consolidated Statement of Cash Flows for the years ended December 31, 2012, 2011 and 2010 and (vi) the notes to the Consolidated Financial Statements.**

 

* A “management contract or compensatory plan”
** In accordance with Rule 406T of Regulation S-T, the XBRL related information in Exhibit 101 to this Annual Report on Form 10-K is deemed not filed or part of a registration statement or prospectus for purposes of Section 11 or 12 of the Securities Act of 1933, as amended, is deemed not filed for purposes of Section 18 of the Securities and Exchange Act of 1934, as amended, and otherwise is not subject to liability under those sections.

 

67


Table of Contents

SIGNATURES

Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, the Registrant has duly caused this report to be signed on its behalf by the undersigned thereunto duly authorized.

 

    ENTEGRIS, INC.

Dated: February 22, 2013

    By   /s/ B ERTRAND L OY
      Bertrand Loy
      President & Chief Executive Officer

Pursuant to the requirements of the Securities Exchange Act of 1934, this report has been signed below by the following persons on behalf of the Registrant and in the capacities and on the dates indicated.

 

S IGNATURE

  

T ITLE

 

D ATE

/s/ B ERTRAND L OY    President, Chief Executive Officer and Director
(Principal executive officer)
  February 22, 2013
Bertrand Loy     
/s/ G REGORY B. G RAVES    Executive Vice President, Chief Financial Officer & Treasurer (Principal financial officer)   February 22, 2013

Gregory B. Graves

    
/s/ M ICHAEL D. S AUER    Vice President, Controller & Chief Accounting Officer (Principal accounting officer)   February 22, 2013

Michael D. Sauer

    

P AUL L.H. O LSON *

   Director, Chairman of the Board   February 22, 2013

Paul L.H. Olson

    

M ICHAEL A. B RADLEY *

   Director   February 22, 2013

Michael A. Bradley

    

M ARVIN D. B URKETT *

   Director   February 22, 2013

Marvin D. Burkett

    

R. N ICHOLAS B URNS *

   Director   February 22, 2013

R. Nicholas Burns

    

D ANIEL W. C HRISTMAN *

   Director   February 22, 2013

Daniel W. Christman

    

R OGER D. M C D ANIEL *

   Director   February 22, 2013

Roger D. McDaniel

    

B RIAN F. S ULLIVAN *

   Director   February 22, 2013

Brian F. Sullivan

    

 

*By   /s/ B ERTRAND L OY

B ERTRAND L OY , A TTORNEY - IN -F ACT

 

68


Table of Contents

EXHIBIT INDEX

Reg. S-K Item 601(b)

 

Reference

   Exhibit No.   

Documents Filed Herewith

(10)        10.1    Executive Employment Agreement, effective November 28, 2012, between the Registrant and Bertrand Loy*
(10)        10.2    2011 RSU Unit Award Agreement*
(10)        10.3    2011 Stock Option Award Agreement*
(21)        21    Subsidiaries of Entegris, Inc.
(23)        23    Consent of Independent Registered Public Accounting Firm
(24)        24    Power of Attorney by the Directors of Entegris, Inc.
(31)        31.1    Certification required by Rule 13a-14(a) in accordance with Section 302 of the Sarbanes—Oxley Act of 2002.
(31)        31.2    Certification required by Rule 13a-14(a) in accordance with Section 302 of the Sarbanes—Oxley Act of 2002.
(32)        32.1    Certification required by Rule 13a-14(b) and 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002.
(32)        32.2    Certification required by Rule 13a-14(b) and 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002.
(101)        101.1    Interactive data files pursuant to Rule 405 of Regulation S-T, formatted in XBRL (Extensible Business Reporting Language): (i) the Consolidated Balance Sheets at December 31, 2012 and 2011, (ii) the Consolidated Statement of Operations for the years ended December 31, 2012, 2011 and 2010, (iii) the Consolidated Statements of Equity for the years ended December 31, 2012, 2011 and 2010, (iv) the Consolidated Statements of Comprehensive Income for the years ended December 31, 2012, 2011 and 2010, (v) the Consolidated Statement of Cash Flows for the years ended December 31, 2012, 2011 and 2010 and (vi) the notes to the Consolidated Financial Statements.**

 

* A “management contract or compensatory plan”
** In accordance with Rule 406T of Regulation S-T, the XBRL related information in Exhibit 101 to this Annual Report on Form 10-K is deemed not filed or part of a registration statement or prospectus for purposes of Section 11 or 12 of the Securities Act of 1933, as amended, is deemed not filed for purposes of Section 18 of the Securities and Exchange Act of 1934, as amended, and otherwise is not subject to liability under those sections.

 

69


Table of Contents

ENTEGRIS, INC.

INDEX TO FINANCIAL STATEMENTS

 

Report of Independent Registered Public Accounting Firm

   F-2

Consolidated Balance Sheets at December 31, 2012 and 2011

   F-3

Consolidated Statements of Operations for the years ended December 31, 2012, 2011 and 2010

   F-4

Consolidated Statements of Comprehensive Income for the years ended December 31, 2012, 2011 and 2010

   F-5

Consolidated Statements of Equity for the years ended December 31, 2012, 2011 and 2010

   F-6

Consolidated Statements of Cash Flows for the years ended December 31, 2012, 2011 and 2010

   F-7

Notes to Consolidated Financial Statements

   F-8

 

F-1


Table of Contents

Report of Independent Registered Public Accounting Firm

The Board of Directors and Shareholders

Entegris, Inc.:

We have audited the accompanying consolidated balance sheets of Entegris, Inc. and subsidiaries as of December 31, 2012 and 2011, and the related consolidated statements of operations, comprehensive income, equity, and cash flows for each of the years in the three-year period ended December 31, 2012. We also have audited Entegris, Inc.’s internal control over financial reporting as of December 31, 2012, based on criteria established in Internal Control – Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (COSO). Entegris, Inc.’s management is responsible for these consolidated financial statements, for maintaining effective internal control over financial reporting, and for its assessment of the effectiveness of internal control over financial reporting, included in the accompanying Item 9A.(b) Management’s Annual Report on Internal Control Over Financial Reporting . Our responsibility is to express an opinion on these consolidated financial statements and an opinion on the Company’s internal control over financial reporting based on our audits.

We conducted our audits in accordance with the standards of the Public Company Accounting Oversight Board (United States). Those standards require that we plan and perform the audits to obtain reasonable assurance about whether the financial statements are free of material misstatement and whether effective internal control over financial reporting was maintained in all material respects. Our audits of the consolidated financial statements included examining, on a test basis, evidence supporting the amounts and disclosures in the financial statements, assessing the accounting principles used and significant estimates made by management, and evaluating the overall financial statement presentation. Our audit of internal control over financial reporting included obtaining an understanding of internal control over financial reporting, assessing the risk that a material weakness exists, and testing and evaluating the design and operating effectiveness of internal control based on the assessed risk. Our audits also included performing such other procedures as we considered necessary in the circumstances. We believe that our audits provide a reasonable basis for our opinions.

A company’s internal control over financial reporting is a process designed to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. A company’s internal control over financial reporting includes those policies and procedures that (1) pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company; (2) provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made only in accordance with authorizations of management and directors of the company; and (3) provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use, or disposition of the company’s assets that could have a material effect on the financial statements.

Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Also, projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate.

In our opinion, the consolidated financial statements referred to above present fairly, in all material respects, the financial position of Entegris, Inc. and subsidiaries as of December 31, 2012 and 2011, and the results of their operations and their cash flows for each of the years in the three-year period ended December 31, 2012, in conformity with U.S. generally accepted accounting principles. Also in our opinion, Entegris, Inc. maintained, in all material respects, effective internal control over financial reporting as of December 31, 2012, based on criteria established in Internal Control – Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission.

/s/ KPMG LLP

Minneapolis, Minnesota

February 22, 2013

 

F-2


Table of Contents

ENTEGRIS, INC. AND SUBSIDIARIES

CONSOLIDATED BALANCE SHEETS

 

(In thousands, except share and per share data)

   December 31, 2012     December 31, 2011  

ASSETS

    

Current assets:

    

Cash and cash equivalents

   $ 330,419      $ 273,593   

Short-term investments

     19,995        —     

Trade accounts and notes receivable, net

     94,016        107,223   

Inventories, net

     99,144        93,937   

Deferred tax assets, deferred tax charges and refundable income taxes

     20,201        15,805   

Assets held for sale

     5,998        5,998   

Other current assets

     9,551        6,443   
  

 

 

   

 

 

 

Total current assets

     579,324        502,999   
  

 

 

   

 

 

 

Property, plant and equipment, net

     157,021        130,554   

Other assets:

    

Intangible assets, net

     47,207        56,453   

Deferred tax assets and other noncurrent tax assets

     17,167        25,119   

Other

     10,825        9,538   
  

 

 

   

 

 

 

Total assets

   $ 811,544      $ 724,663   
  

 

 

   

 

 

 

LIABILITIES AND EQUITY

    

Current liabilities:

    

Accounts payable

   $ 36,341      $ 30,609   

Accrued payroll and related benefits

     29,376        30,887   

Other accrued liabilities

     21,887        16,954   

Deferred tax liabilities and income taxes payable

     5,659        14,144   
  

 

 

   

 

 

 

Total current liabilities

     93,263        92,594   
  

 

 

   

 

 

 

Pension benefit obligations and other liabilities

     17,066        19,868   

Deferred tax liabilities and other noncurrent tax liabilities

     6,416        3,963   

Commitments and contingent liabilities

     —          —     

Equity:

    

Preferred stock, par value $.01; 5,000,000 shares authorized; none issued and outstanding as of December 31, 2012 and 2011

     —          —     

Common stock, par value $.01; 400,000,000 shares authorized; issued and outstanding shares: 138,457,769 and 135,820,588

     1,385        1,358   

Additional paid-in capital

     809,514        788,673   

Retained deficit

     (157,038     (225,766

Accumulated other comprehensive income

     40,938        43,973   
  

 

 

   

 

 

 

Total equity

     694,799        608,238   
  

 

 

   

 

 

 

Total liabilities and equity

   $ 811,544      $ 724,663   
  

 

 

   

 

 

 

See the accompanying notes to consolidated financial statements.

 

F-3


Table of Contents

ENTEGRIS, INC. AND SUBSIDIARIES

CONSOLIDATED STATEMENTS OF OPERATIONS

 

(In thousands, except per share data)

   Year ended
December 31,
2012
    Year ended
December 31,
2011
    Year ended
December 31,
2010
 

Net sales

   $ 715,903      $ 749,259      $ 688,416   

Cost of sales

     408,520        423,329        377,773   
  

 

 

   

 

 

   

 

 

 

Gross profit

     307,383        325,930        310,643   

Selling, general and administrative expenses

     147,405        140,847        147,051   

Engineering, research and development expenses

     50,940        47,980        43,934   

Amortization of intangible assets

     9,594        10,225        13,231   
  

 

 

   

 

 

   

 

 

 

Operating income

     99,444        126,878        106,427   

Interest expense

     271        886        3,598   

Interest income

     (281     (227     (82

Other (income) expense, net

     (249     (1,745     1,430   
  

 

 

   

 

 

   

 

 

 

Income before income taxes and equity in net (income) loss of affiliates

     99,703        127,964        101,481   

Income tax expense

     30,881        4,217        15,006   

Equity in net (income) loss of affiliates

     (3     (499     1,353   
  

 

 

   

 

 

   

 

 

 

Net income

     68,825        124,246        85,122   

Less net income attributable to the noncontrolling interest

     —          400        766   
  

 

 

   

 

 

   

 

 

 

Net income attributable to Entegris, Inc.

   $ 68,825      $ 123,846      $ 84,356   

Amounts attributable to Entegris, Inc.:

      

Basic net income per common share

   $ 0.50      $ 0.92      $ 0.64   

Diluted net income per common share

   $ 0.50      $ 0.91      $ 0.63   

Weighted shares outstanding

      

Basic

     137,306        134,685        131,685   

Diluted

     138,412        136,223        133,174   

See the accompanying notes to consolidated financial statements.

 

F-4


Table of Contents

ENTEGRIS, INC. AND SUBSIDIARIES

CONSOLIDATED STATEMENTS OF COMPREHENSIVE INCOME

 

(In thousands)

   Year ended
December 31, 2012
    Year ended
December 31, 2011
    Year ended
December 31, 2010
 

Net income

   $ 68,825     $ 124,246     $ 85,122  
  

 

 

   

 

 

   

 

 

 

Other comprehensive income, net of tax

      

Foreign currency translation adjustments

     (2,524     925        15,535   

Reclassification of cumulative translation adjustment associated with sale of equity method investee

     —          (1,715     —     

Reclassification of cumulative translation adjustment associated with acquisition of business

     (216     —          —     

Pension liability adjustments, net of income tax expense of $74, $1,631, and $330 for year ended December 31, 2012, 2011, and 2010

     (295     2,386       (837
  

 

 

   

 

 

   

 

 

 

Other comprehensive income

     (3,035     1,596        14,698   
  

 

 

   

 

 

   

 

 

 

Comprehensive income

     65,790        125,842        99,820   

Less comprehensive income attributable to the noncontrolling interest

     —          620        929   
  

 

 

   

 

 

   

 

 

 

Comprehensive income attributable to Entegris, Inc.

   $ 65,790      $ 125,222     $ 98,891   
  

 

 

   

 

 

   

 

 

 

See the accompanying notes to consolidated financial statements

 

F-5


Table of Contents

ENTEGRIS, INC. AND SUBSIDIARIES

CONSOLIDATED STATEMENTS OF EQUITY

 

(In thousands)

  Common
shares
outstanding
    Common
stock
    Additional
paid-in
capital
    Retained
earnings
(deficit)
    Accumulated
other
comprehensive
income (loss)
    Noncontrolling
interest
    Total  

Balance at December 31, 2009

    130,043      $ 1,300      $ 751,360      $ (433,968   $ 27,500      $ 3,465      $ 349,657   

Shares issued under stock plans

    2,858        29        6,770        —          —          —          6,799   

Share-based compensation expense

    —          —          7,588        —          —          —          7,588   

Tax benefit associated with stock plans

    —          —          149        —          —          —          149   

Pension liability adjustment

    —          —          —          —          (837     —          (837

Foreign currency translation

    —          —          —          —          15,372        163        15,535   

Net income

    —          —          —          84,356        —          766        85,122   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Balance at December 31, 2010

    132,901        1,329        765,867        (349,612     42,035        4,394        464,013   

Shares issued under stock plans

    2,920        29        11,661        —          —          —          11,690   

Share-based compensation expense

    —          —          7,519        —          —          —          7,519   

Tax benefit associated with stock plans

    —          —          657        —          —          —          657   

Purchase of noncontrolling interest

    —          —          2,969        —          562        (5,014     (1,483

Pension liability adjustment

    —          —          —          —          2,386        —          2,386   

Reclassification of cumulative translation adjustment associated with sale of equity method investee

    —          —          —          —          (1,715     —          (1,715

Foreign currency translation

    —          —          —          —          705        220        925   

Net income

    —          —          —          123,846        —          400        124,246   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Balance at December 31, 2011

    135,821        1,358        788,673        (225,766     43,973        —          608,238   

Shares issued under stock plans

    2,694        28        7,403        —          —          —          7,431   

Share-based compensation expense

 

 

—  

  

    —          9,881        —          —          —          9,881   

Repurchase and retirement of common stock

 

 

(57

    (1     (329     (97     —          —          (427

Tax benefit associated with stock plans

 

 

—  

  

    —          3,886        —          —          —          3,886   

Pension liability adjustment

    —          —          —          —          (295     —          (295

Reclassification of foreign currency translation associated with acquisition of business

 

 

—  

  

    —          —          —          (216     —          (216

Foreign currency translation

    —          —          —          —          (2,524     —          (2,524

Net income

    —          —          —          68,825        —          —          68,825   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Balance at December 31, 2012

    138,458      $ 1,385      $ 809,514      $ (157,038   $ 40,938        —        $ 694,799   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

 

F-6


Table of Contents

ENTEGRIS, INC. AND SUBSIDIARIES

CONSOLIDATED STATEMENTS OF CASH FLOWS

 

(In thousands)

  Year ended
December 31, 2012
    Year ended
December 31, 2011
    Year ended
December 31, 2010
 

Operating activities:

     

Net income

  $ 68,825      $ 124,246      $ 85,122   

Adjustments to reconcile net income to net cash provided by operating activities:

     

Depreciation

    28,013        26,839        27,967   

Amortization

    9,594        10,225        13,231   

Share-based compensation expense

    9,881        7,519        7,588   

Impairment of equity investments

    —          —          2,164   

Deferred tax valuation allowance

    358        (41,038     (13,600

Provision for deferred income taxes

    11,582        21,671        10,647   

Charge for excess and obsolete inventory

    4,007        3,167        998   

Excess tax benefit from share-based compensation plans

    (3,805     (657     (149

Amortization of debt issuance costs

    —          676        1,731   

Net income attributable to noncontrolling interest

    —          (400     (766

Other

    1,701        (2,245     (1,302

Changes in operating assets and liabilities, net of effects of acquisitions:

     

Trade accounts receivable and notes receivable

    10,626        19,336        (26,789

Inventories

    (6,118     3,632        (14,285

Accounts payable and accrued liabilities

    6,265        (15,127     34,860   

Other current assets

    (2,985     1,253        (283

Income taxes payable and refundable income taxes

    (11,015     (433     13,243   

Other

    (11,767     (1,378     521   
 

 

 

   

 

 

   

 

 

 

Net cash provided by operating activities

    115,162        157,286        140,898   
 

 

 

   

 

 

   

 

 

 

Investing activities:

     

Acquisition of property and equipment

    (49,929     (30,267     (16,794

Purchase of short-term investments

    (27,990     (2,047     —     

Proceeds from sale or maturities of short-term investments

    8,000        2,000        —     

Other

    (2,548     1,883        4,809   
 

 

 

   

 

 

   

 

 

 

Net cash used in investing activities

    (72,467     (28,431     (11,985
 

 

 

   

 

 

   

 

 

 

Financing activities:

     

Principal payments on short-term borrowings and long-term debt

    —          —          (259,157

Proceeds from short-term borrowings and long-term debt

    —          —          186,649   

Issuance of common stock from employee stock plans

    7,431        11,690        6,799   

Other

    3,459        (826     —     
 

 

 

   

 

 

   

 

 

 

Net cash provided by (used in) financing activities

    10,890        10,864        (65,709
 

 

 

   

 

 

   

 

 

 

Effect of exchange rate changes on cash and cash equivalents

    3,241        (80     2,050   
 

 

 

   

 

 

   

 

 

 

Increase in cash and cash equivalents

    56,826        139,639        65,254   

Cash and cash equivalents at beginning of period

    273,593        133,954        68,700   
 

 

 

   

 

 

   

 

 

 

Cash and cash equivalents at end of period

  $ 330,419      $ 273,593      $ 133,954   
 

 

 

   

 

 

   

 

 

 

Supplemental Cash Flow Information

 

(In thousands)

  Year ended
December 31, 2012
    Year ended
December 31, 2011
    Year ended
December 31, 2010
 

Non-cash transactions:

     

Equipment purchases in accounts payable

  $ 3,429      $ 1,372      $ 517   

Intangible assets received as partial consideration in sale of equity interest

    —          1,712        —     

Schedule of interest and income taxes paid:

     

Interest paid

  $ 271      $ 210      $ 2,072   

Income taxes, net of refunds received

    29,697        22,034        3,592   

See accompanying notes to consolidated financial statements.

 

F-7


Table of Contents

ENTEGRIS, INC.

NOTES TO CONSOLIDATED FINANCIAL STATEMENTS

(1)    SUMMARY OF SIGNIFICANT ACCOUNTING POLICIES

Nature of Operations Entegris, Inc. (Entegris or the Company) is a leading provider of products and services that purify, protect and transport the critical materials used in key technology-driven industries, primarily the semiconductor and related industries.

Principles of Consolidation The consolidated financial statements include the accounts of the Company and its majority-owned subsidiaries. Intercompany profits, transactions and balances have been eliminated in consolidation.

Use of Estimates The preparation of consolidated financial statements in conformity with accounting principles generally accepted in the United States requires management to make judgments, estimates and assumptions that affect the amounts reported in the consolidated financial statements and accompanying notes. On an ongoing basis, Entegris evaluates its estimates, including those related to receivables, inventories, property, plant and equipment, intangible assets, accrued expenses, income taxes and share-based compensation, among others. Actual results could differ from those estimates.

Concentrations of Suppliers Certain materials included in the Company’s products are obtained from a single source or a limited group of suppliers. Although the Company seeks to reduce dependence on those sole and limited source suppliers, the partial or complete loss of these sources could have at least a temporary adverse effect on the Company’s results of operations. Furthermore, a significant increase in the price of one or more of these components could adversely affect the Company’s results of operations.

Cash and Cash Equivalents Cash and cash equivalents include cash on hand and highly liquid debt securities with original maturities of three months or less, which are valued at cost which approximates fair value.

Allowance for Doubtful Accounts An allowance for uncollectible trade receivables is estimated based on a combination of write-off history, aging analysis and any specific, known troubled accounts. The Company maintains an allowance for doubtful accounts that management believes is adequate to cover expected losses on trade receivables.

Inventories Inventories are stated at the lower of cost or market. Cost is determined by the first-in, first-out (FIFO) method.

Property, Plant, and Equipment Property, plant and equipment are carried at cost and are depreciated on the straight-line method over the estimated useful lives of the assets. When assets are retired or disposed of, the cost and related accumulated depreciation are removed from the accounts, and gains or losses are recognized in the same period. Maintenance and repairs are expensed as incurred; significant additions and improvements are capitalized. Long-lived assets, including property, plant and equipment, are reviewed for impairment whenever events or changes in circumstances indicate that the carrying amount of an asset or group of asset(s) may not be recoverable based on estimated future undiscounted cash flows. The amount of impairment, if any, is measured as the difference between the net book value and the estimated fair value of the asset(s).

 

F-8


Table of Contents

Investments The Company’s nonmarketable investments are accounted for under either the cost or equity method of accounting, as appropriate. All nonmarketable investments are periodically reviewed to determine whether declines, if any, in fair value below cost basis are other-than-temporary. If the decline in fair value is determined to be other-than-temporary, an impairment loss is recorded and the investment written down to a new cost basis.

Fair Value of Financial Instruments The carrying value of cash equivalents, accounts receivable and accounts payable approximates fair value due to the short maturity of those instruments.

Intangible Assets Amortizable intangible assets include, among other items, patents, unpatented and other developed technology and customer-based intangibles, and are amortized using the straight-line method over their respective estimated useful lives of 3 to 15 years. The Company reviews intangible assets, along with other long-lived assets, for impairment if changes in circumstances or the occurrence of events suggest the remaining value may not be recoverable.

Derivative Financial Instruments The Company records derivatives as assets or liabilities on the balance sheet and measures such instruments at fair value. Changes in fair value of derivatives are recorded each period in current results of operations or other comprehensive income.

The Company periodically enters into forward foreign currency contracts to reduce exposures relating to rate changes in certain foreign currencies. Certain exposures to credit losses related to counterparty nonperformance exist. However, the Company does not anticipate nonperformance by the counterparties since they are large, well-established financial institutions. None of these derivatives is accounted for as a hedge transaction. Accordingly, changes in the fair value of forward foreign currency contracts are recorded as other (income) expense, net in the Company’s statement of operations. The fair values of the Company’s derivative financial instruments are based on prices quoted by financial institutions for these instruments. The Company had no net exposure to any forward contracts at December 31, 2012 and December 31, 2011.

Foreign Currency Translation Assets and liabilities of foreign subsidiaries are generally translated from foreign currencies into U.S. dollars at period-end exchange rates, and the resulting gains and losses arising from translation of net assets located outside the U.S. are recorded as a cumulative translation adjustment, a component of accumulated other comprehensive income (loss) in the consolidated balance sheets. Income statement amounts are translated at the weighted average exchange rates for the year. Translation adjustments are not adjusted for income taxes as substantially all translation adjustments relate to permanent investments in non-U.S. subsidiaries. Gains and losses resulting from foreign currency transactions are included in other income, net in the consolidated statements of operations.

Revenue Recognition Revenue and the related cost of sales are generally recognized upon shipment of the products. Revenue for product sales is recognized upon delivery, when persuasive evidence of an arrangement exists, when title and risk of loss have been transferred to the customer, collectability is reasonably assured, and pricing is fixed or determinable. Shipping and handling fees related to sales transactions are billed to customers and are recorded as sales revenue.

The Company sells its products throughout the world primarily to companies in the microelectronics industry. The Company performs continuing credit evaluations of its customers and generally does not require collateral. Letters of credit may be required from its customers in certain circumstances. The Company provides for estimated returns when the revenue is recorded based on historical and current trends in both sales and product returns.

The Company collects various sales and value-added taxes on certain product and service sales that are accounted for on a net basis.

 

F-9


Table of Contents

Shipping and handling costs Shipping and handling costs incurred are recorded in cost of sales in the consolidated statements of operations.

Engineering, research and development expenses Engineering, research and development expenses costs are expensed as incurred.

Share-based Compensation The Company measures the cost of employee services received in exchange for the award of equity instruments based on the fair value of the award at the date of grant. The cost is recognized over the period during which an employee is required to provide services in exchange for the award. Compensation expense is based on the grant date fair value. Because share-based compensation expense recognized in the consolidated statements of operations for the years ended December 31, 2012, 2011 and 2010 is based on awards ultimately expected to vest, it has been reduced for expected forfeitures which are estimated at the time of grant with such estimates revised, if necessary, in subsequent periods if actual forfeitures differ from those estimates.

Income Taxes The Company accounts for income taxes under the asset and liability method, which requires the recognition of deferred tax assets and liabilities for the expected future tax consequences of events that have been included in the financial statements. Under this method, deferred tax assets and liabilities are determined on the basis of the differences between the financial statements and tax basis of assets and liabilities using enacted tax rates in effect for the year in which the differences are expected to reverse. The effect of a change in tax rates on deferred tax assets and liabilities is recognized in income in the period that includes the enactment date.

The Company recognizes deferred tax assets to the extent that it believes these assets are more likely than not to be realized. A valuation allowance is recorded to reduce deferred tax assets when it is more likely than not that the Company would not be able to realize all or part of its deferred tax assets. In making such a determination, the Company considers all available positive and negative evidence, including future reversals of existing temporary differences, projected future taxable income, tax-planning strategies, and results of recent operations. If the Company determines that it would be able to realize its deferred tax assets in the future in excess of their net recorded amount, the Company would make an adjustment to the deferred tax asset valuation allowance, which would reduce the provision for income taxes.

The Company’s policy for recording interest and penalties associated with audits and unrecognized tax benefits is to record such items as a component of income before taxes. Penalties are recorded in other (income) expense, net and interest to be paid or received is recorded in interest expense or interest income, respectively, in the statement of operations.

Comprehensive Income Comprehensive income represents the change in equity resulting from items other than shareholder investments and distributions. The Company’s foreign currency translation adjustments and minimum pension liability adjustments are included in accumulated other comprehensive income. Comprehensive income and the components of accumulated other comprehensive income are presented in the accompanying consolidated statements of equity and comprehensive income.

Recent Accounting Pronouncements

In June 2011, the Financial Accounting Standards Board (FASB) issued Accounting Standards Update (ASU) No. 2011-05, Presentation of Comprehensive Income, which requires entities to present reclassification adjustments included in other comprehensive income on the face of the financial statements and allows entities to present the total of comprehensive income, the components of net income and the components of other comprehensive income either in a single continuous statement of comprehensive income or in two separate but consecutive statements. It also eliminates the option for entities to present the components of other comprehensive income as part of the statement of changes in stockholders’ equity. ASU No. 2011-05 was effective for the Company in the first quarter of 2012. Adoption of this ASU relates to the presentation of financial information and had no effect on the Company’s consolidated financial position or results of operations.

 

F-10


Table of Contents

(2)    ACQUISITIONS

Acquisition of Pureline Co., Ltd.

In 2007, the Company acquired a 40% ownership interest in Pureline Co., Ltd. (Pureline), a privately held company located in Munmak, South Korea and manufacturer of fluid handling products. The Company accounted for its interest in Pureline under the equity method of accounting. Concurrent with its 2007 investment in Pureline, the Company obtained two options, each to purchase 30% of the remaining outstanding shares of Pureline based upon a multiple of Pureline’s calendar 2008 and 2009 adjusted earnings, respectively, by July 31 of the subsequent year.

On July 31, 2009, the Company exercised the first of its options and acquired an additional 30% equity interest in Pureline as described below. As of the date of the exercise, the Company owned a 70% controlling interest in Pureline. Accordingly, the transaction was accounted for under the acquisition method of accounting and the results of operations of Pureline are included in the Company’s consolidated financial statements as of and since July 31, 2009. Pureline’s sales and operating results for the five months ended December 31, 2009 were not material to the Company’s consolidated financial statements.

The Company remeasured its previously held equity interest in Pureline at its July 31, 2009 fair value. The July 31, 2009 fair value of the equity interest in Pureline held by the Company before the acquisition date was $4.3 million. Based on the carrying value of the Company’s equity interest in Pureline before the business combination, the Company recognized a gain of $0.2 million in earnings. In prior reporting periods, the Company recognized changes in the value of its equity interest in Pureline related to translation adjustments in other comprehensive loss. Accordingly, the $0.8 million recognized previously in other comprehensive loss was reclassified and included in the calculation of the charge to earnings.

In connection with the transaction, the Company measured and recorded the fair value of the 30% noncontrolling interest in Pureline. The fair value of the noncontrolling interest in Pureline at July 31, 2009 was $3.2 million.

During the second quarter ended July 3, 2010, the Company received proceeds of $3.6 million from the South Korean government in connection with eminent domain proceedings whereby the Company relinquished its existing land and building to the government upon the completion of a new facility in South Korea. The new building was completed in the fourth quarter of 2010 and the previously occupied building and land were relinquished in 2011 to the South Korean government.

On April 4, 2011, the Company exercised the second option and purchased the 30% noncontrolling interest in Pureline for $1.483 million. Based on the carrying value of the Company’s noncontrolling interest in Pureline as of the date of the transaction, the Company recorded increases to additional paid-in capital and accumulated other comprehensive income as reflected in the Company’s consolidated statements of equity. The cash outflow is reflected as a financing activity in the Company’s consolidated statements of cash flows.

(3)    SHORT-TERM INVESTMENTS

Available-for-sale investments as of December 31, 2012 were as follows:

 

(In thousands)

   Cost
basis
     Gross
unrealized
gains
     Gross
unrealized
losses
    Fair
value
 

Commercial paper

   $ 19,999       $ —         $ (4   $ 19,995   
  

 

 

    

 

 

    

 

 

   

 

 

 

Total available-for-sale investments

   $ 19,999       $ —         $ (4   $ 19,995   
  

 

 

    

 

 

    

 

 

   

 

 

 

 

F-11


Table of Contents

Investments with continuous unrealized losses for less than 12 months and their related fair values as of December 31, 2012 were as follows:

 

     Less than 12 months  

(In thousands)

   Fair
value
     Gross
unrealized
losses
 

Commercial paper

   $ 19,995       $ (4
  

 

 

    

 

 

 

Total

   $ 19,995       $ (4
  

 

 

    

 

 

 

Unrealized losses from corporate bonds are primarily attributable to general changes in interest rates and market conditions. Management does not believe the unrealized losses represent other-than-temporary impairments based on our evaluation of available evidence as of December 31, 2012.

The amortized cost and fair value of available-for-sale debt investments as of December 31, 2012, by contractual maturity, were as follows:

 

(In thousands)

   Cost
basis
     Fair
value
 

Due in 1 year or less

   $ 19,999       $ 19,995   
  

 

 

    

 

 

 

Total

   $ 19,999       $ 19,995   
  

 

 

    

 

 

 

The net unrealized holding gains (losses) on available-for-sale investments that have been included in other comprehensive income (loss) and the net gains (losses) reclassified from accumulated other comprehensive income (loss) into earnings for the year ended December 31, 2012 were as follows:

 

(In thousands)

   2012  

Net unrealized holding losses included in other comprehensive income

   $ (4

Net gains (losses) reclassified from accumulated other comprehensive income (loss) into earnings

   $ —     

(4)    TRADE ACCOUNTS AND NOTES RECEIVABLE

Trade accounts and notes receivable from customers at December 31, 2012 and 2011 consist of the following:

 

(In thousands)

   2012      2011  

Accounts receivable

   $ 86,717       $ 95,890   

Notes receivable

     9,613         12,370   
  

 

 

    

 

 

 
     96,330         108,260   

Less allowance for doubtful accounts

     2,314         1,037   
  

 

 

    

 

 

 
   $ 94,016       $ 107,223   
  

 

 

    

 

 

 

 

F-12


Table of Contents

(5)    INVENTORIES

Inventories at December 31, 2012 and 2011 consist of the following:

 

(In thousands)

   2012      2011  

Raw materials

   $ 27,720       $ 26,385   

Work-in-process

     10,242         12,258   

Finished goods (a)

     60,667         54,688   

Supplies

     515         606   
  

 

 

    

 

 

 
   $ 99,144       $ 93,937   
  

 

 

    

 

 

 

 

(a) Includes consignment inventories held by customers for $5,229 and $5,157 at December 31, 2012 and 2011, respectively.

(6)    PROPERTY, PLANT AND EQUIPMENT

Property, plant, and equipment at December 31, 2012 and 2011 consist of the following:

 

(In thousands)

   2012      2011      Estimated
useful lives in
years

Land

   $ 11,065       $ 11,548      

Buildings and improvements

     85,239         75,603       5-35

Manufacturing equipment

     151,559         141,206       5-10

Molds

     79,959         72,536       3-5

Office furniture and equipment

     65,950         61,064       3-8

Construction in progress

     26,551         7,285      
  

 

 

    

 

 

    
     420,323         369,242      

Less accumulated depreciation

     263,302         238,688      
  

 

 

    

 

 

    
   $ 157,021       $ 130,554      
  

 

 

    

 

 

    

The table below sets forth the depreciation expense for the years ended December 31, 2012, 2011, and 2010:

 

(In thousands)

   2012      2011      2010  

Depreciation expense

   $ 28,013       $ 26,839       $ 27,967   

(7)    INVESTMENTS

At December 31, 2012 and 2011, the Company held equity investments totaling $2.4 million and $3.8 million, respectively. These investments all represent interests in privately held companies. All investments at December 31, 2012 are accounted for under the cost method.

During 2012, the Company acquired the remaining 50% of Entegris Precision Technologies Corporation (EPT) in Taiwan, an entity in which it had previously owned a 50% equity interest accounted for under the equity method. The transaction was accounted for under the acquisition method of accounting and the results of operations of the entity are included in the Company’s consolidated financial statements as of and since April 2, 2012. The investee’s sales and operating results are not material to the Company’s consolidated financial statements. The Company paid $3.4 million in cash for the additional 50% equity interest in the entity. A detailed description of the transaction can be found in Note 14 under the heading “Items Measured at Fair Value on a Nonrecurring Basis”.

 

F-13


Table of Contents

During 2011, the Company recorded a gain of $1.5 million on the sale of an equity method investment that was classified within other (income) expense, net in the consolidated statements of operations. A detailed description of the transaction can be found in Note 14 under the heading “Items Measured at Fair Value on a Nonrecurring Basis”.

During 2010, the Company determined that one of its investments was partially impaired. The Company recorded an impairment loss of $2.2 million that was classified in equity in net loss of affiliates in the statement of operations. Also in 2010, the Company sold two of its equity investments for $0.9 million. The Company recorded gains of $0.9 million that were classified within other (income) expense, net in the consolidated results of operations.

(8)    INTANGIBLE ASSETS

Intangible assets at December 31, 2012 and 2011 consist of the following:

 

2012

 

(In thousands)

   Gross  carrying
Amount
     Accumulated
amortization
     Net  carrying
value
     Weighted
average life in
years
 

Patents

   $ 19,104       $ 18,226       $ 878         9.1   

Developed technology

     76,414         59,147         17,267         7.5   

Trademarks and trade names

     12,677         6,633         6,044         12.1   

Customer relationships

     56,700         33,761         22,939         11.1   

Other

     1,510         1,431         79         9.4   
  

 

 

    

 

 

    

 

 

    
   $ 166,405       $ 119,198       $ 47,207         9.3   
  

 

 

    

 

 

    

 

 

    

 

2011

 

(In thousands)

   Gross  carrying
amount
     Accumulated
amortization
     Net  carrying
value
     Weighted
average life in
years
 

Patents

   $ 19,035       $ 17,985       $ 1,050         9.1   

Developed technology

     76,639         56,524         20,115         7.5   

Trademarks and trade names

     12,561         5,579         6,982         12.1   

Customer relationships

     56,630         28,450         28,180         11.1   

Other

     1,604         1,478         126         9.0   
  

 

 

    

 

 

    

 

 

    
   $ 166,469       $ 110,016       $ 56,453         9.3   
  

 

 

    

 

 

    

 

 

    

The table below sets forth the amortization expense for the years ended December 31, 2012, 2011, and 2010:

 

(In thousands)

   2012      2011      2010  

Amortization expense

   $ 9,594       $ 10,225       $ 13,231   

 

F-14


Table of Contents

The amortization expense for each of the five succeeding years and thereafter relating to intangible assets currently recorded in the consolidated balance sheets is estimated to be the following at December 31, 2012:

 

Fiscal year ending December 31

   (In millions)  

2013

   $ 9.0   

2014

     8.0   

2015

     5.8   

2016

     5.8   

2017

     5.8   

Thereafter

     12.8   
  

 

 

 
   $ 47.2   
  

 

 

 

(9)    FINANCING ARRANGEMENTS

On June 9, 2011, the Company entered into a Credit Agreement (Agreement) with Wells Fargo Bank, National Association, as administrative agent, and certain other banks parties thereto.

The Agreement provides for a $30.0 million revolving credit facility maturing June 9, 2014. The financial covenants in the Agreement require that the Company maintain a cash flow leverage ratio of at least 3.0 to 1.0, measured by comparing quarterly total funded debt to EBITDA. In addition, the Company and its subsidiaries must maintain minimum cash and cash equivalents and certain other approved investments of at least $25.0 million, with $10.0 million held by the Borrowers with the Agent or its affiliates in bank accounts in the United States. Cash and cash equivalents and investments held by foreign subsidiaries are valued at 65% of the applicable currency value for purposes of these calculations. In addition to the financial metric covenants required under the revolving credit facility, under the terms of the Agreement, as amended in August 2012, the Company is restricted from making annual capital expenditures during any fiscal year in excess of $85.0 million. At both December 31, 2012 and 2011, the Company had no outstanding borrowings and was in compliance with all applicable debt covenants included in the terms of the Agreement.

Under the terms of the Agreement, the Company may elect that the loans comprising each borrowing bear interest at a rate per annum equal to either (a) the sum of 2.50%, plus the one month LIBOR rate then in effect, for base rate loans (“Base Rate Loans”); or (b) the sum of 2.50% plus, (i) the one-month LIBOR rate then in effect, (ii) the two-month LIBOR rate then in effect or (iii) the three-month LIBOR rate then in effect, for LIBOR loans (“LIBOR Loans”). The interest rate on Base Rate Loans will remain the same while such loan is outstanding, while the interest rate for LIBOR Loans will only be effective for the interest period which corresponds to the effective LIBOR rate. LIBOR Loans will convert to Base Rate Loans at the end of an applicable interest period unless the Company requests a new LIBOR Loan. Base Rate Loans may be converted to LIBOR Loans at the Company’s option with three days notice to the Agent. In addition, the Company pays a commitment fee of 0.375% on the unborrowed commitments under the Agreement.

The Company has entered into unsecured line of credit agreements, which expire at various dates, with two international commercial banks, which provide for borrowings of Japanese yen for its foreign subsidiaries, equivalent to $14.0 million as of December 31, 2012. Interest rates for these facilities are based on a factor of the banks’ reference rates. Borrowings outstanding under international line of credit agreements were none at both December 31, 2012 and 2011.

 

F-15


Table of Contents

(10)    LEASE COMMITMENTS

As of December 31, 2012, the Company was obligated under noncancellable operating lease agreements for certain sales offices and manufacturing facilities, manufacturing equipment, vehicles, information technology equipment and warehouse space. Future minimum lease payments for noncancellable operating leases with initial or remaining terms in excess of one year are as follows:

 

Fiscal year ending December 31

   (In thousands)  

2013

   $ 8,288   

2014

     4,361   

2015

     4,073   

2016

     3,221   

2017

     2,566   

Thereafter

     3,304   
  

 

 

 

Total minimum lease payments

   $ 25,813   
  

 

 

 

Total rental expense for all equipment and building operating leases for the years ended December 31, 2012, 2011, and 2010, were $9.4 million, $9.4 million, and $10.9 million, respectively.

(11)    INCOME TAXES

Income before income taxes for the years ended December 31, 2012, 2011 and 2010 was derived from the following sources:

 

(In thousands)

   2012      2011      2010  

Domestic

   $ 49,056       $ 68,839       $ 50,644   

Foreign

     50,647         59,125         50,837   
  

 

 

    

 

 

    

 

 

 

Income before income taxes

   $ 99,703       $ 127,964       $ 101,481   
  

 

 

    

 

 

    

 

 

 

Income tax (benefit) expense for the years ended December 31, 2012, 2011, and 2010 is summarized as follows:

 

(In thousands)

   2012      2011     2010  

Current:

       

Federal

   $ 5,797       $ 2,382      $ 2,587   

State

     654         1,335        662   

Foreign

     11,183         17,784        15,292   
  

 

 

    

 

 

   

 

 

 
     17,634         21,501        18,541   
  

 

 

    

 

 

   

 

 

 

Deferred (net of valuation allowance):

       

Federal

     11,165         (19,853     —     

State

     168         (647     —     

Foreign

     1,914         3,216        (3,535
  

 

 

    

 

 

   

 

 

 
     13,247         (17,284     (3,535
  

 

 

    

 

 

   

 

 

 

Income tax expense

   $ 30,881       $ 4,217      $ 15,006   
  

 

 

    

 

 

   

 

 

 

 

F-16


Table of Contents

Income tax expense differs from the expected amounts based upon the statutory federal tax rates for the years ended December 31, 2012, 2011, and 2010 as follows:

 

(In thousands)

   2012     2011     2010  

Expected federal income tax at statutory rate

   $ 34,896      $ 44,788      $ 35,519   

State income taxes before valuation allowance, net of federal tax effect

     440        1,013        605   

Income (losses) without tax expense (benefit)

     (40     (1,357     215   

Effect of foreign source income

     (5,314     1,959        (6,891

Valuation allowance

     358        (41,038     (13,600

Other items, net

     541        (1,148     (842
  

 

 

   

 

 

   

 

 

 

Income tax expense

   $ 30,881      $ 4,217      $ 15,006   
  

 

 

   

 

 

   

 

 

 

As a result of commitments made by the Company related to investments in tangible property and equipment, the establishment of a research and development center in 2006 and certain employment commitments, income from certain manufacturing activities in Malaysia is exempt from tax for years up through 2015. The income tax benefits attributable to the tax status of this subsidiary are estimated to be $2.4 million (two cents per diluted share), none, and $6.5 million (5 cents per diluted share) for the years ended December 31, 2012, 2011, and 2010, respectively.

The significant components of the Company’s deferred tax assets and deferred tax liabilities at December 31, 2012 and 2011 are as follows:

 

(In thousands)

   2012     2011  

Deferred tax assets attributable to:

    

Accounts receivable

   $ 389      $ 300   

Inventory

     2,643        2,789   

Accruals not currently deductible for tax purposes

     10,054        10,831   

Net operating loss and credit carryforwards

     2,669        11,403   

Capital loss carryforward

     3,105        3,105   

Depreciation

     2,870        4,553   

Equity compensation

     3,155        2,280   

Asset impairments

     1,021        1,021   

Purchased intangibles

     1,396        339   

Other, net

     3,604        3,090   
  

 

 

   

 

 

 

Gross deferred tax assets

     30,906        39,711   

Valuation allowance

     (4,990     (4,632
  

 

 

   

 

 

 

Total deferred tax assets

     25,916        35,079   
  

 

 

   

 

 

 

Deferred tax liabilities attributable to:

    

Depreciation

     (1,252     (918

Purchased intangible assets

     (692     (674
  

 

 

   

 

 

 

Total deferred tax liabilities

     (1,944     (1,592
  

 

 

   

 

 

 

Net deferred tax assets

   $ 23,972      $ 33,487   
  

 

 

   

 

 

 

Deferred tax assets are generally required to be reduced by a valuation allowance if, based on the weight of available positive and negative evidence, it is more likely than not that some portion or all of the deferred tax assets will not be realized.

 

F-17


Table of Contents

As of December 31, 2012 and 2011, the Company had a net U.S. deferred tax asset position of $18.7 million and $26.2 million, respectively, which are composed of temporary differences and various tax credit carryforwards. Management believes that it is more likely than not that the benefit from certain state net operating loss carryforwards, state credits, and a federal capital loss carryforward will not be realized. In recognition of this risk, management has provided a valuation allowance of $4.4 million and $4.3 million as of December 31, 2012 and 2011, respectively, on the related deferred tax assets. If the assumptions change and management determines the assets will be realized, the tax benefits relating to any reversal of the valuation allowance on deferred tax assets at December 31, 2012 will be recognized as a reduction of income tax expense. The increase in the amount of certain state credits in fiscal 2012 that will not be realized increased the valuation allowance resulting in tax expense of $0.1 million. Management estimates taxable income of $45.9 million will be necessary to utilize the remaining U.S. deferred tax assets as of December 31, 2012.

As of December 31, 2012 and 2011, the Company had a net non-U.S. deferred tax asset position of $10.2 million and $11.9 million, respectively, for which management determined based upon the available evidence a valuation allowance of $0.6 million and $0.3 million as of December 31, 2012 and 2011, respectively, were required against the non-U.S. deferred tax assets. For other non-U.S. jurisdictions, management is relying upon projections of future taxable income to utilize deferred tax assets. Estimated taxable income of $34.2 million will be necessary to utilize the non-U.S. deferred tax assets, of which an estimated $17.0 million is related to Nihon Entegris KK, the Company’s Japanese subsidiary.

At December 31, 2012, there were approximately $299.6 million of accumulated undistributed earnings of subsidiaries outside the United States all of which are considered to be reinvested indefinitely. Management has considered its future cash needs and affirms its intention to indefinitely invest such earnings overseas to be utilized for working capital purposes, expansion of existing operations, possible acquisitions and other international items. No U.S. tax has been provided on such earnings. If they were remitted to the Company, applicable U.S. federal and foreign withholding taxes may be partially offset by available foreign tax credits. Management has concluded that it is impracticable to compute the full actual tax impact, but it estimates that $4.6 million of withholding taxes would be incurred if the $299.6 million were distributed.

At December 31, 2012, the Company had state operating loss carryforwards of approximately $2.0 million, which begin to expire in 2013; foreign tax credit carryforwards of approximately $5.7 million, which begin to expire in 2019; and foreign operating loss carryforwards of $5.1 million, which begin to expire in 2015.

Benefits from tax positions should be recognized in the financial statements only when it is more likely than not that the tax positions will be sustained upon examination by the appropriate taxing authority that would have full knowledge of all relevant information. A tax position that meets the more-likely-than-not recognition threshold is measured at the largest amount of benefit that is greater than fifty percent likely of being realized upon ultimate settlement. Tax positions that fail to meet the more-likely-than-not recognition threshold should be recognized in the first subsequent financial reporting period in which that threshold is met. Previously recognized tax positions that no longer meet the more-likely-than-not recognition threshold should be derecognized in the first subsequent financial reporting period in which that threshold is no longer met. The provisions also provide guidance on the accounting for and disclosure of unrecognized tax benefits, interest and penalties.

 

F-18


Table of Contents

Reconciliations of the beginning and ending balances of the total amounts of gross unrecognized tax benefits for the years ended December 31, 2012 and 2011 are as follows:

 

(In thousands)

   2012     2011  

Gross unrecognized tax benefits at beginning of year

   $ 2,467      $ 2,527   

Increases in tax positions for prior years

     —          11   

Decreases in tax positions for prior years

     (19     —     

Increases in tax positions for current year

     4,608        992   

Settlements

     (1,044     (291

Lapse in statute of limitations

     (593     (772
  

 

 

   

 

 

 

Gross unrecognized tax benefits at end of year

   $ 5,419      $ 2,467   
  

 

 

   

 

 

 

The total amount of net unrecognized tax benefits that, if recognized, would affect the effective tax rate was $0.7 million at December 31, 2012.

The Company’s policy for recording interest and penalties associated with tax audits is to record such items as a component of income before taxes.

Penalties are recorded in other expense or income, and interest paid or received is recorded in interest expense or interest income, respectively, in the consolidated statements of operations. For the years ended December 31, 2012 and 2011, the Company has accrued interest and penalties related to unrecognized tax benefits of $1.0 million and $1.0 million, respectively. Interest and penalties of $(0.0) million, $(0.0) million and $(0.6) million were recognized in the consolidated statements of operations for the years ended December 31, 2012, 2011 and 2010, respectively.

The Company files income tax returns in the U.S. and in various state, local and foreign jurisdictions. The statute of limitations related to the consolidated Federal income tax return is closed for all years up to and including 2008. With respect to foreign jurisdictions, the statute of limitations varies from country to country, with the earliest open year for the Company’s major foreign subsidiaries being 2007.

Due to the potential for resolution of a foreign examination and the expiration of various statutes of limitations, it is reasonably possible that the Company’s gross unrecognized tax benefit balance may decrease within the next twelve months by approximately $1.9 million.

On January 2, 2013 President Obama signed into law H.R. 8, the American Taxpayer Relief Act of 2012. The Act reinstated the federal credit for increasing research expenditures retroactively to the beginning of 2012. Management estimates that during the first quarter of 2013, the Company will recognize a discrete tax benefit of approximately $1.1 million related to the credit. While other provisions of the Act may impact the filing of the 2012 federal income tax return, none of the other Act provisions are expected to have a material impact on the financial statements.

(12)    EQUITY

Share Repurchase Program

On October 26, 2011, the Company announced that its Board of Directors had authorized the repurchase of up to an aggregate of $50 million of the Company’s common stock in open market transactions and in accordance with a pre-arranged stock trading plan established on November 22, 2011 for the purpose of repurchasing up to $50 million of the registrant’s common stock in accordance with Rule 10b5-1 under the Securities Exchange Act of 1934, as amended (the “Plan”). The Plan commenced on November 28, 2011 and the expiration date of the Plan was extended until February 8, 2013.

On December 12, 2012, the Board of Directors authorized a repurchase program for 2013 covering up to an aggregate of $50 million of the Company’s common stock in open market transactions and in accordance with

 

F-19


Table of Contents

one or more pre-arranged stock trading plans established in accordance with Rule 10b5-1 under the Securities Exchange Act of 1934, as amended.

Share-based Compensation Expense

The Company recognizes compensation expense for all share-based payment awards made to employees and directors based on their estimated fair values on the date of grant. Share-based compensation expense is based on the value of the portion of share-based payment awards that is ultimately expected to vest during the period. Share-based compensation expense for the years ended December 31, 2012, 2011 and 2010 is reflected in the table below:

 

(In thousands)

   2012      2011      2010  

Share-based compensation expense

   $ 9,881       $ 7,519       $ 7,588   

Employee Stock Plan

At December 31, 2009, the Company had outstanding stock awards under five stock incentive plans: the Entegris, Inc. 1999 Long-Term Incentive and Stock Option Plan; the Entegris, Inc. Outside Directors’ Option Plan and three former Mykrolis stock option plans assumed by the Company on August 10, 2005; the 2001 Equity Incentive Plan; the 2003 Employment Inducement and Acquisition Stock Option Plan; and the 2001 Non-Employee Director Stock Option Plan. On December 17, 2009, the Company’s Board of Directors approved the 2010 Stock Plan, subject to the approval of the Company’s stockholders. On May 5, 2010, the stockholders approved the 2010 Stock Plan. The 2010 Stock Plan replaced the above existing plans for future stock awards and stock option grants. Subsequent to the replacement of the prior plans on May 5, 2010, no awards were or will be made under the prior plans.

The 2010 Stock Plan provides for the issuance of stock options and other share-based awards to selected employees, directors, and other individuals or entities that provide services to the Company or its affiliates. The 2010 Stock Plan has a term of ten years. Under the 2010 Stock Plan, the Board of Directors or a committee selected by the Board of Directors will determine for each award, the term, price, number of shares, rate at which each award is exercisable and whether restrictions are imposed on the shares subject to the awards. The exercise price for option awards generally may not be less than the fair market value per share of the underlying common stock on the date granted. The 2010 Stock Plan allows that after December 31, 2009 any stock awards that were awarded from the expired plans mentioned above that are forfeited, expired or otherwise terminate without issuance of such stock award again be available for issuance under the 2010 Stock Plan.

General Option Information

Option activity for the 2010 Stock Plan and predecessor plans for the years ended December 31, 2012, 2011 and 2010 is summarized as follows:

 

     2012      2011      2010  

(Shares in thousands)

   Number  of
shares
    Weighted
average
exercise
price
     Number  of
shares
    Weighted
average
exercise
price
     Number  of
shares
    Weighted
average
exercise
price
 

Options outstanding, beginning of year

     3,561      $ 6.53         5,001      $ 6.25         6,663      $ 6.80   

Granted

     470        9.27         511        8.75         746        5.42   

Exercised

     (1,293     3.76         (1,698     5.95         (1,190     4.68   

Canceled

     (173     9.83         (253     9.41         (1,218     10.31   
  

 

 

   

 

 

    

 

 

   

 

 

    

 

 

   

 

 

 

Options outstanding, end of year

     2,565      $ 8.20         3,561      $ 6.53         5,001      $ 6.25   

Options exercisable, end of year

     1,828      $ 8.18         2,078      $ 7.53         3,013      $ 8.15   
  

 

 

   

 

 

    

 

 

   

 

 

    

 

 

   

 

 

 

 

F-20


Table of Contents

Options outstanding for the Company’s stock plans at December 31, 2012 are summarized as follows:

 

(Shares in thousands)

   Options outstanding      Options exercisable  

Range of exercise prices

   Number
outstanding
     Weighted
average
remaining life

in years
     Weighted-
average
exercise
price
     Number
exercisable
     Weighted
average
exercise
price
 

$1.13 to $3.08

     183         3.1 years       $ 1.26         183       $ 1.26   

$4.61 to $7.68

     692         2.6 years         6.30         529         6.57   

$7.69 to $9.22

     767         3.0 years         8.63         504         8.56   

$9.23 to $15.38

     923         2.5 years         10.64         612         11.33   
  

 

 

          

 

 

    
     2,565         2.7 years            1,828      
  

 

 

          

 

 

    

The weighted average remaining contractual term for options outstanding and exercisable for all plans at December 31, 2012 was 2.7 years and 1.7 years, respectively.

For all plans, the Company had shares available for future grants of 7.7 million shares, 8.6 million shares, and 9.5 million shares at December 31, 2012, 2011 and 2010, respectively.

For all plans, the total pre-tax intrinsic value of stock options exercised during the years ended December 31, 2012 and 2011 was $6.7 million and $5.0 million, respectively. The aggregate intrinsic value, which represents the total pre-tax intrinsic value based on the Company’s closing stock price of $9.18 at December 31, 2012, which theoretically could have been received by the option holders had all option holders exercised their options as of that date, was $3.9 million and $3.1 million for options outstanding and options exercisable, respectively.

Employee Stock Purchase Plan

The Company maintains the Entegris, Inc. Employee Stock Purchase Plan (ESPP). A total of 4.0 million common shares are reserved for issuance under the ESPP. The ESPP allows employees to elect, at six-month intervals, to contribute up to 10% of their compensation, subject to certain limitations, to purchase shares of common stock at a discount of 15% from the fair market value on the first day or last day of each six-month period. The Company treats the ESPP as a compensatory plan. As of December 31, 2012, 3.3 million shares had been issued under the ESPP. At December 31, 2012, 0.7 million shares remained available for issuance under the ESPP. Employees purchased 0.3 million shares, 0.4 million shares, and 0.4 million shares, at a weighted-average price of $7.34, $4.35, and $2.88 during the years ended December 31, 2012, 2011 and 2010, respectively.

The table below sets forth the amount of cash received by the Company from the exercise of stock options and employee contributions to the ESPP during the years ended December 31, 2012, 2011 and 2010:

 

(In thousands)

   2012      2011      2010  

Exercise of stock options and employee contributions to the ESPP

   $ 7,431       $ 11,690       $ 6,799   

 

F-21


Table of Contents

Restricted Stock Awards

Restricted stock awards are awards of common stock made under the 2010 Stock Plan and predecessor plans that are subject to restrictions on transfer and to a risk of forfeiture if the awardee terminates employment with the Company prior to the lapse of the restrictions. The value of such stock is determined using the market price on the grant date. Compensation expense for restricted stock awards is generally recognized using the straight-line single-option method. A summary of the Company’s restricted stock activity for the years ended December 31, 2012, 2011 and 2010 is presented in the following table:

 

(Shares in thousands)

   2012      2011      2010  
       Number
of
shares
    Weighted
average
grant date
fair value
     Number
of
shares
    Weighted
average
grant date
fair value
     Number
of
shares
    Weighted
average
grant date
fair value
 

Unvested, beginning of year

     2,298      $ 5.49         2,738      $ 4.43         3,263      $ 3.74   

Granted

     744        9.21         795        8.65         1,205        5.75   

Vested

     (1,132     5.42         (1,087     5.22         (1,640     4.04   

Forfeited

     (108     6.22         (148     4.89         (90     4.11   
  

 

 

   

 

 

    

 

 

   

 

 

    

 

 

   

 

 

 

Unvested, end of year

     1,802      $ 7.02         2,298      $ 5.49         2,738      $ 4.43   
  

 

 

   

 

 

    

 

 

   

 

 

    

 

 

   

 

 

 

The weighted average remaining contractual term for unvested restricted shares at December 31, 2012 and 2011 was 1.8 years and 2.0 years, respectively.

As of December 31, 2012, the total compensation cost related to unvested stock options and restricted stock awards not yet recognized was $2.4 million and $8.9 million, respectively, and is expected to be recognized over the next 2.5 years on a weighted-average basis.

Valuation and Expense Information

The following table summarizes the allocation of share-based compensation expense related to employee stock options, restricted stock awards and grants under the employee stock purchase plan accounted for under ASC 718 for the years ended December 31, 2012, 2011 and 2010:

 

(In thousands)

   2012      2011      2010  

Cost of sales

   $ 575       $ 650       $ 604   

Engineering, research and development expenses

     500         566         476   

Selling, general and administrative expenses

     8,806         6,303         6,523   
  

 

 

    

 

 

    

 

 

 

Share-based compensation expense

     9,881         7,519         7,603   

Tax benefit

     3,686         2,805         2,836   
  

 

 

    

 

 

    

 

 

 

Share-based compensation expense, net of tax

   $ 6,195       $ 4,714       $ 4,767   
  

 

 

    

 

 

    

 

 

 

Stock Options

Share-based payment awards in the form of stock option awards for 0.5 million, 0.5 million and 0.7 million options were granted to employees during the years ended December 31, 2012, 2011, and 2010. Compensation expense is based on the grant date fair value. The awards vest annually over a three-year or four-year period and have a contractual term of seven years. The Company estimates the fair value of stock options using the Black-Scholes valuation model. Key inputs and assumptions used to estimate the fair value of stock options include the grant price of the award, the expected option term, volatility of the Company’s stock, the risk-free rate and the Company’s dividend yield. Estimates of fair value are not intended to predict actual future events or the value ultimately realized by employees who receive equity awards, and subsequent events are not indicative of reasonableness of the original estimates of fair value made by the Company.

 

F-22


Table of Contents

The fair value of each stock option grant was estimated at the date of grant using a Black-Scholes option pricing model. The following table presents the weighted-average assumptions used in the valuation and the resulting weighted-average fair value per option granted for the years ended December 31, 2012, 2011 and 2010:

 

Employee stock options:

   2012     2011     2010  

Volatility

     82.4     79.3     75.2

Risk-free interest rate

     0.6     1.8     2.1

Dividend yield

     0     0     0

Expected life

     3.8 years        4 years        3.9 years   

Weighted average fair value per option

   $ 5.42      $ 5.14      $ 3.25   

A historical daily measurement of volatility is determined based on the expected life of the option granted. The risk-free interest rate is determined by reference to the yield on an outstanding U.S. Treasury note with a term equal to the expected life of the option granted. Expected life is determined by reference to the Company’s historical experience. The Company determines the dividend yield by dividing the expected annual dividend on the Company’s stock by the option exercise price.

Shareholder Rights Plan On July 27, 2005, the Company’s Board of Directors adopted a shareholder rights plan (the “Rights Plan”) pursuant to which Entegris declared a dividend on August 8, 2005 to its shareholders of record on that date of one preferred share purchase right (a “Right”) for each share of Entegris common stock owned on August 8, 2005 and authorized the issuance of Rights in connection with future issuances of Entegris common stock. Each Right entitles the holder to purchase one-hundredth of a share of a series of preferred stock at an exercise price of $50, subject to adjustment as provided in the Rights Plan. The Rights Plan is designed to protect Entegris’ shareholders from attempts by others to acquire Entegris on terms or by using tactics that could deny all shareholders the opportunity to realize the full value of their investment. The Rights are attached to the shares of the Company’s common stock until certain triggering events specified in the Rights Agreement occur, including, unless approved by the Company’s Board of Directors, an acquisition by a person or group of specified levels of beneficial ownership of Entegris common stock or a tender offer for Entegris common stock. Upon the occurrence of any of these triggering events, the Rights authorize the holders to purchase at the then-current exercise price for the Rights, that number of shares of the Company’s common stock having a value equal to twice the exercise price. The Rights are redeemable by the Company for $0.01 and will expire on August 8, 2015. One of the events which will trigger the Rights is the acquisition, or commencement of a tender offer, by a person (an Acquiring Person, as defined in the shareholder rights plan), other than Entegris or any of its subsidiaries or employee benefit plans, of 15% or more of the outstanding shares of the Company’s common stock. An Acquiring Person may not exercise a Right.

(13)    BENEFIT PLANS

401(k) Plan The Company maintains the Entegris, Inc. 401(k) Savings and Profit Sharing Plan (the 401(k) Plan) that qualifies as a deferred salary arrangement under Section 401(k) of the Internal Revenue Code. Under the Plan, eligible employees may defer a portion of their pre-tax wages, up to the Internal Revenue Service annual contribution limit. Entegris matches employees’ contributions to a maximum match of 4% of the employee’s eligible wages. The employer matching contribution expense under the Plan was $3.0 million, $3.2 million and $2.5 million in the fiscal years ended December 31, 2012, 2011 and 2010, respectively.

Defined Benefit Plans The employees of the Company’s subsidiaries in Japan, Taiwan and Germany are covered in defined benefit pension plans. The Company uses a December 31 measurement date for its pension plans.

In the third quarter of 2011, the Company’s Japan defined benefit pension plan (the Plan) was amended. Under the amendment, employees will no longer accrue benefits under the Plan and instead will participate in a defined contribution arrangement from the date on which their benefits under the Plan were frozen. The Company

 

F-23


Table of Contents

remeasured the projected benefit obligation and plan assets of the amended plan, which resulted in a $4.675 million reduction in the Company’s pension liability. In addition, the Plan’s assets of $5.7 million were used to settle a portion of the defined benefit pension liability associated with the plan. The Company’s remaining pension liability associated with the Plan is $13.9 million as of December 31, 2011. The Company recognized a curtailment gain of $726 thousand million in connection with this amendment in the third quarter of 2011 that is classified within “Selling, general, and administrative expenses” in the Company’s consolidated statements of operations.

The tables below set forth the Company’s estimated funded status as of December 31, 2012 and 2011:

 

(In thousands)

   2012     2011  

Change in benefit obligation:

    

Benefit obligation at beginning of period

   $ 16,364      $ 26,515   

Acquisitions

     —          16   

Service cost

     89        1,268   

Interest cost

     163        290   

Actuarial losses (gain)

     367        (27

Benefits paid

     (3,329     (2,596

Curtailments

     —          (4,675

Settlements

     —          (5,710

Foreign exchange impact

     (1,047     1,283   
  

 

 

   

 

 

 

Benefit obligation at end of period

     12,607        16,364   
  

 

 

   

 

 

 

Change in plan assets:

    

Fair value of plan assets at beginning of period

     357        6,040   

Return on plan assets

     4        (36

Employer contributions

     8        866   

Benefits paid

     —          (1,133

Settlements

     —          (5,511

Foreign exchange impact

     13        131   
  

 

 

   

 

 

 

Fair value of plan assets at end of period

     382        357   
  

 

 

   

 

 

 

Funded status:

    

Plan assets less than benefit obligation - Net amount recognized

   $ (12,225   $ (16,007
  

 

 

   

 

 

 

Amounts recognized in the consolidated balance sheet consist of:

 

(In thousands)

   2012     2011  

Noncurrent liability

   $ (12,225   $ (16,007

Accumulated other comprehensive loss, net of taxes

     1,058        764   

Amounts recognized in accumulated other comprehensive loss, net of tax consist of:

 

(In thousands)

   2012     2011  

Net actuarial loss

   $ 1,028      $ 648   

Prior service cost

     287        295   

Unrecognized transition obligation

     (12     (13
  

 

 

   

 

 

 

Gross amount recognized

     1,303        930   

Deferred income taxes

     (245     (166
  

 

 

   

 

 

 

Net amount recognized

   $ 1,058      $ 764   
  

 

 

   

 

 

 

 

F-24


Table of Contents

Information for pension plans with an accumulated benefit obligation in excess of plan assets:

 

(In thousands)

   2012      2011  

Projected benefit obligation

   $ 12,607       $ 16,364   

Accumulated benefit obligation

     11,293         15,280   

Fair value of plan assets

     382         357   

The components of the net periodic benefit cost for the years ended December 31, 2012, 2011 and 2010 are as follows:

 

(In thousands)

   2012     2011     2010  

Pension benefits:

      

Service cost

   $ 89      $ 1,268      $ 1,654   

Interest cost

     163        290        319   

Expected return on plan assets

     (7     (65     (76

Amortization of prior service cost

     19        126        163   

Amortization of net transition obligation

     (1     (1     (1

Amortization of plan loss

     20        49        247   

Recognized actuarial net loss

     1        1        —     

Acquisition

     —          16        —     

Curtailments

     —          (726     —     
  

 

 

   

 

 

   

 

 

 

Net periodic pension benefit cost

   $ 284      $ 958      $ 2,306   
  

 

 

   

 

 

   

 

 

 

The estimated amount that will be amortized from accumulated other comprehensive income into net periodic benefit cost in 2013 is as follows:

 

(In thousands)

      

Transition obligation

   $ (1

Prior service cost

     19   

Net actuarial loss

     40   
  

 

 

 
   $ 58   
  

 

 

 

Assumptions used in determining the benefit obligation and net periodic benefit cost for the Company’s pension plans for the years ended December 31, 2012, 2011 and 2010 are presented in the following table as weighted-averages:

 

     2012     2011     2010  

Benefit obligations:

      

Discount rate

     1.19     1.40     1.29

Rate of compensation increase

     4.18     4.22     5.23

Net periodic benefit cost:

      

Discount rate

     1.80     1.38     1.36

Rate of compensation increase

     2.84     5.14     5.26

Expected return on plan assets

     1.14     1.52     1.53

The plans’ expected return on assets as shown above is based on management’s expectations of long-term average rates of return to be achieved by the underlying investment portfolios. In establishing this assumption, management considers historical and expected returns for the asset classes in which the plans are invested, as well as current economic and capital market conditions. The discount rate primarily used by the Company is based on market yields at the valuation date on government bonds as well as the estimated maturity of benefit payments.

 

F-25


Table of Contents

Plan Assets

At December 31, 2012 and 2011, the Company’s pension plan assets are deposited in Bank of Taiwan in the form of money market funds, where Bank of Taiwan is the assigned funding vehicle for the statutory retirement benefit.

The fair value measurements of the Company’s pension plan assets at December 31, 2012, by asset category are as follows:

 

(In thousands)

         

Quoted prices

in active

markets for

identical

assets

    

Significant

observable

inputs

    

Significant

unobservable

inputs

 
           
           
           

Asset category

   Total      (Level 1)      (Level 2)      (Level 3)  

Taiwan plan assets (a)

   $ 382       $ 382         —           —     
  

 

 

    

 

 

    

 

 

    

 

 

 
   $ 382       $ 382         —           —     

 

(a) This category includes investments in the government of Taiwan’s pension fund. The government of Taiwan is responsible for the strategy and allocation of the investment contributions.

The fair value measurements of the Company’s pension plan assets at December 31, 2011, by asset category are as follows:

 

(In thousands)

         

Quoted prices

in active

markets for

identical

assets

    

Significant

observable

inputs

    

Significant

unobservable

inputs

 
           
           
           
           

Asset category

   Total      (Level 1)      (Level 2)      (Level 3)  

Taiwan plan assets (a)

   $ 357       $ 357         —           —     
  

 

 

    

 

 

    

 

 

    

 

 

 
   $ 357       $ 357         —           —     

 

(a) This category includes investments in the government of Taiwan’s pension fund. The government of Taiwan is responsible for the strategy and allocation of the investment contributions.

Cash Flows

The Company expects to make the following contributions and benefit payments:

 

(In thousands)

   Contributions      Payments  

2013

   $ 629       $ 25   

2014

     —           285   

2015

     —           320   

2016

     —           219   

2017

     —           311   

Years 2018-2022

     —           2,187   

(14)    FAIR VALUE MEASUREMENTS

Generally accepted accounting principles establish a fair value hierarchy that prioritizes the inputs used to measure fair value. The three levels of the fair value hierarchy are as follows:

Level 1—Quoted prices in active markets accessible at the reporting date for identical assets and liabilities.

 

F-26


Table of Contents

Level 2—Quoted prices for similar assets or liabilities in active markets. Quoted prices for identical or similar assets and liabilities in markets that are not considered active or financial instruments for which all significant inputs are observable, either directly or indirectly.

Level 3—Prices or valuations that require inputs that are significant to the valuation and are unobservable.

A financial instrument’s level within the fair value hierarchy is based on the lowest level of any input that is significant to the fair value measurement.

Financial Assets Measured at Fair Value on a Recurring Basis

The following table presents the Company’s financial assets and liabilities that are measured at fair value on a recurring basis at December 31, 2012 and 2011.

 

      December 31, 2012     December 31, 2011  

(In thousands)

  Level 1     Level 2     Level 3     Total     Level 1     Level 2     Level 3     Total  

Assets:

               

Cash equivalents

               

Commercial paper

  $ —        $ 59,980      $ —        $ 59,980      $ —        $ 14,605      $ —        $ 14,605   

Money market fund deposits

    73,026        —          —          73,026        83,320        —          —          83,320   

Short-term investments

               

Commercial paper

    —          19,995        —          19,995        —          —          —          —     
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Total assets measured and recorded at fair value

  $ 73,026      $ 79,995      $ —        $ 153,001      $ 83,320      $ 14,605      $ —        $ 97,925   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Liabilities:

               

Derivative financial instruments

               

Foreign exchange forward contracts

  $ —        $ 4,603      $ —        $ 4,603      $ —        $ 491      $ —        $ 491   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Total liabilities measured and recorded at fair value

  $ —        $ 4,603      $ —        $ 4,603      $ —        $ 491      $ —        $ 491   
 

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

   

 

 

 

Items Measured at Fair Value on a Nonrecurring Basis

On April 2, 2012, the Company acquired the remaining 50% of Entegris Precision Technologies Corporation (EPT) in Taiwan, an entity in which it had previously owned a 50% equity interest accounted for under the equity method. The transaction was accounted for under the acquisition method of accounting and the results of operations of the entity are included in the Company’s consolidated financial statements as of and since April 2, 2012. The investee’s sales and operating results are not material to the Company’s consolidated financial statements. The Company paid $3.4 million in cash for the additional 50% equity interest in the entity.

The Company remeasured its previously held equity interest in the entity at its April 2, 2012 fair value of $2.9 million. Based on the carrying value of the Company’s equity interest in EPT before the business combination, the Company recognized a gain of $1.3 million. In prior reporting periods, the Company recognized changes in the value of its equity interest in EPT related to translation adjustments in other comprehensive income. Accordingly, the $216 thousand recognized previously in other comprehensive income was reclassified and included in the calculation of the gain.

The purchase price has been allocated based on the fair values of all of the assets acquired and liabilities assumed. The valuation of the assets acquired and liabilities assumed, as well as the Company’s previously held equity interest, was based on the information that was available as of the acquisition date and the expectations and assumptions that have been deemed reasonable by the Company’s management.

 

F-27


Table of Contents

In performing these valuations, the Company used independent appraisals and discounted cash flows and other factors as the best evidence of fair value. The key underlying assumptions of the discounted cash flows were projected revenues, gross margin expectations and operating cost estimates. There are inherent uncertainties and management judgment required in these determinations. No assurance can be given that the underlying assumptions will occur as projected. The fair value measurements of the assets acquired and liabilities assumed were based on valuations involving significant unobservable inputs, or Level 3 in the fair value hierarchy.

The sum of the purchase price of the additional 50% equity interest and the fair value of the equity interest in the investee held by the Company at the acquisition date exceeded the net of the acquisition-date amounts of the identifiable assets acquired and the liabilities assumed by $2.2 million.

In the second quarter of 2011, the Company recorded a gain of $1.5 million on the sale of an equity investment that was classified within other (income) expense, net in the consolidated statements of operations. The gain comprised two components—a $0.2 million loss related to the disposition of the equity interest and a $1.715 million gain related to the cumulative translation reclassification adjustment associated with the equity method investee. The carrying value of the investment at the time of the sale was $4.1 million. The Company received assets recorded at fair value of $3.9 million ($1.8 million of cash, $0.4 million of equipment, and $1.712 million of intangible assets) resulting in the aforementioned loss. The fair value measurement of the intangible assets received was based on valuations involving significant unobservable inputs, generally utilizing the market approach, or Level 3 in the fair value hierarchy.

In 2010, the Company recorded an other-than-temporary impairment of $2.2 million related to an equity investment. The fair value of the investment after impairment was $4.1 million at December 31, 2010 and is classified as a Level 3 investment in the fair value hierarchy. The fair value measurement of the equity investment was based on a valuation involving significant unobservable inputs, generally utilizing the market approach.

The fair value measurements of the assets acquired and liabilities assumed in the acquisition of Pureline as described in Note 2 to the consolidated financial statements were generally based on valuations involving significant unobservable inputs, or Level 3 in the fair value hierarchy.

(15)    EARNINGS PER SHARE (EPS)

Basic EPS is computed by dividing net income attributable to Entegris, Inc. by the weighted average number of shares of common stock outstanding during each period. The following table presents a reconciliation of the share amounts used in the computation of basic and diluted earnings per share:

 

(In thousands)

   2012      2011      2010  

Basic earnings per share—Weighted common shares outstanding

     137,306         134,685         131,685   

Weighted common shares assumed upon exercise of options and vesting of restricted stock units

     1,106         1,538         1,489   
  

 

 

    

 

 

    

 

 

 

Diluted earnings per share—Weighted common shares outstanding

     138,412         136,223         133,174   
  

 

 

    

 

 

    

 

 

 

We excluded the following shares underlying stock-based awards from the calculations of diluted EPS because their inclusion would have been anti-dilutive for the years ended December 31, 2012, 2011 and 2010:

 

(In thousands)

   2012      2011      2010  

Shares excluded from calculations of diluted EPS

     1,431         1,471         3,753   

 

F-28


Table of Contents

(16)    SEGMENT INFORMATION

The Company’s financial reporting segments are: Contamination Control Solutions (CCS), Microenvironments (ME), and Specialty Materials (SMD).

 

   

CCS : provides a wide range of products and subsystems that purify, monitor and deliver critical liquids and gases used in the semiconductor manufacturing process.

 

   

ME : provides products that protect wafers, reticles and electronic components at various stages of transport, processing and storage.

 

   

SMD : provides specialized graphite components used in semiconductor equipment and offers low-temperature, plasma-enhanced chemical vapor deposition coatings of critical components of semiconductor manufacturing equipment used in various stages of the manufacturing process.

Intersegment sales are not significant. Corporate assets consist primarily of cash and cash equivalents, short-term investments, assets held for sale, investments, deferred tax assets and deferred tax charges.

Segment profit is defined as net sales less direct segment operating expenses, excluding certain unallocated expenses, consisting mainly of general and administrative costs for the Company’s human resources, finance and information technology functions, as well as amortization of intangible assets, charges for the fair market value write-up of acquired inventory sold and restructuring charges before interest expense, income taxes and equity in earnings of affiliates.

Summarized financial information for the Company’s reportable segments is shown in the following table:

 

(In thousands)

   2012      2011      2010  

Net sales:

        

CCS

   $ 461,838       $ 483,958       $ 435,858   

ME

     182,375         182,150         182,485   

SMD

     71,690         83,151         70,073   
  

 

 

    

 

 

    

 

 

 

Total net sales

   $ 715,903       $ 749,259       $ 688,416   
  

 

 

    

 

 

    

 

 

 

( In thousands )

   2012      2011      2010  

Segment profit:

        

CCS

   $ 116,356       $ 140,313       $ 122,891   

ME

     37,223         29,959         38,930   

SMD

     12,230         18,255         11,080   
  

 

 

    

 

 

    

 

 

 

Total segment profit

   $ 165,809       $ 188,527       $ 172,901   
  

 

 

    

 

 

    

 

 

 

( In thousands )

   2012      2011      2010  

Total assets:

        

CCS

   $ 234,766       $ 213,477       $ 222,015   

ME

     86,755         89,642         95,999   

SMD

     90,797         94,191         108,872   

Corporate

     399,226         327,353         174,499   
  

 

 

    

 

 

    

 

 

 

Total assets

   $ 811,544       $ 724,663       $ 601,385   
  

 

 

    

 

 

    

 

 

 

 

F-29


Table of Contents

(In thousands)

   2012      2011      2010  

Depreciation and amortization:

        

CCS

   $ 15,725       $ 15,682       $ 18,632   

ME

     8,765         7,859         7,781   

SMD

     10,626         10,694         11,113   

Corporate

     2,491         2,829         3,672   
  

 

 

    

 

 

    

 

 

 

Total depreciation and amortization

   $ 37,607       $ 37,064       $ 41,198   
  

 

 

    

 

 

    

 

 

 

(In thousands)

   2012      2011      2010  

Capital expenditures:

        

CCS

   $ 29,650       $ 16,170       $ 11,043   

ME

     12,632         8,618         2,175   

SMD

     3,980         3,039         1,368   

Corporate

     3,667         2,440         2,208   
  

 

 

    

 

 

    

 

 

 

Total capital expenditures

   $ 49,929       $ 30,267       $ 16,794   
  

 

 

    

 

 

    

 

 

 

The following table reconciles total segment profit to operating income:

 

(In thousands)

   2012     2011     2010  

Total segment profit

   $ 165,809      $ 188,527      $ 172,901   

Less:

      

Amortization of intangibles

     9,594        10,225        13,231   

Unallocated general and administrative expenses

     56,771        51,424        53,243   
  

 

 

   

 

 

   

 

 

 

Operating income

   $ 99,444      $ 126,878      $ 106,427   

Interest expense

     271        886        3,598   

Interest income

     (281     (227     (82

Other (income) expense, net

     (249     (1,745     1,430   
  

 

 

   

 

 

   

 

 

 

Income before income taxes and equity in net income of affiliates

   $ 99,703      $ 127,964      $ 101,481   
  

 

 

   

 

 

   

 

 

 

The following table presents amortization of intangibles for each of the Company’s segments for the years ended December 31, 2012, 2011 and 2010:

 

(In thousands)

   2012      2011      2010  

Amortization of intangibles:

        

CCS

   $ 4,230       $ 4,588       $ 7,553   

ME

     139         406         416   

SMD

     5,225         5,231         5,262   
  

 

 

    

 

 

    

 

 

 
   $ 9,594       $ 10,225       $ 13,231   
  

 

 

    

 

 

    

 

 

 

 

F-30


Table of Contents

The following table summarizes total net sales, based upon the country to which sales to external customers were made for the years ended December 31, 2012, 2011 and 2010:

 

(In thousands)

   2012      2011      2010  

Net sales:

        

United States

   $ 218,903       $ 213,671       $ 193,408   

Japan

     131,521         140,657         125,372   

Germany

     24,437         33,020         27,879   

Taiwan

     126,732         116,007         109,667   

Singapore

     25,607         28,337         31,432   

South Korea

     70,763         76,888         64,514   

China

     31,499         40,080         44,855   

Other

     86,441         100,599         91,289   
  

 

 

    

 

 

    

 

 

 
   $ 715,903       $ 749,259       $ 688,416   
  

 

 

    

 

 

    

 

 

 

The following table summarizes property, plant and equipment, net, attributed to significant countries for the years ended December 31, 2012, 2011 and 2010:

 

(In thousands)

   2012      2011      2010  

Property, plant and equipment:

        

United States

   $ 86,476       $ 59,444       $ 60,337   

Japan

     27,024         29,295         28,986   

Malaysia

     28,398         30,328         26,349   

Other

     15,123         11,487         11,053   
  

 

 

    

 

 

    

 

 

 
   $ 157,021       $ 130,554       $ 126,725   
  

 

 

    

 

 

    

 

 

 

In the years ended December 31, 2012, 2011, and 2010, no single customer accounted for ten percent or more of net sales.

(17)    COMMITMENTS AND CONTINGENT LIABILITIES

The Company is subject to various claims, legal actions, and complaints arising in the ordinary course of business. The Company believes the final outcome of these matters will not have a material adverse effect on its consolidated financial statements. The Company expenses legal costs as incurred.

(18)    QUARTERLY INFORMATION-UNAUDITED

 

       Fiscal quarter ended  

(In thousands, except per share data)

   March 31,
2012
     June 30,
2012
     September 29,
2012
     December 31,
2012
 

Net sales

   $ 175,403       $ 188,233       $ 184,449       $ 167,818   

Gross profit

     76,244         82,746         81,932         66,461   

Net income

     17,859         21,673         18,037         11,256   

Basic income per share

     0.13         0.16         0.13         0.08   

Diluted income per share

     0.13         0.16         0.13         0.08   
       Fiscal quarter ended  

(In thousands, except per share data)

   April 2,
2011
     July 2,
2011
     October 1,
2011
     December 31,
2011
 

Net sales

   $ 203,125       $ 209,198       $ 173,014       $ 163,922   

Gross profit

     88,345         95,143         74,828         67,614   

Net income

     29,175         32,522         21,988         40,161   

Basic income per share

     0.22         0.24         0.16         0.30   

Diluted income per share

     0.22         0.24         0.16         0.29   

 

F-31

EXHIBIT 10.1

EXECUTIVE EMPLOYMENT AGREEMENT

This EXECUTIVE EMPLOYMENT AGREEMENT (the “Agreement”) is entered into as of November 28, 2012 (the “Effective Date”), between Entegris, Inc., a Delaware corporation (“Company”), and Bertrand Loy (the “Executive”).

R ECITALS

1. The Executive has heretofore been employed by the Company as its President and Chief Operating Officer.

2. Effective as of the Effective Date, the Board promotes the Executive to the position of President and Chief Executive Officer. The Company and the Executive wish to set forth the terms and conditions of Executive’s employment in such position.

N OW , T HEREFORE , in consideration of the above specified recitals and their mutual promises, the Company and Executive agree as follows:

1. Definitions . The following terms shall have the meanings assigned to them below whenever they are used in this Agreement. Terms defined elsewhere in this Agreement shall have the meaning assigned to them at the location of their definition.

1.1. “Accrued Rights” means the following amounts: (A)  Executive’s base salary in effect through the date of termination, to the extent not previously paid; (B)  any bonus or variable compensation earned by Executive but unpaid as of the date of termination for any previously completed fiscal year; (C)  reimbursement for any unreimbursed business expenses properly incurred by the Executive in accordance with Company policy prior to the date of the Executive’s termination and properly submitted for reimbursement within sixty (60) days following the date of termination; and (D)  such reimbursements and benefits under the Benefit Plans, if any, to which the Executive became entitled prior to or on the date of termination, including, but not limited to, any vacation accrued but unused, through the date of termination, as determined in accordance with Company policies but excluding payments, if any, under any severance plan or policy of the Company.

1.2. “Board” means the Company’s Board of Directors as from time to time in office.

1.3. “Cause” means: (i)  Executive’s conviction of a felony involving a personal act of willful and intentional misconduct or the entry by Executive of a plea of nolo contendere in connection with such an alleged felony; (ii)  the repeated and continual failure of Executive to fulfill the basic duties of his position with the Company or Executive’s obligations under this Agreement; (iii)  Executive’s gross negligence, dishonesty, willful malfeasance or gross misconduct in connection with his employment with the Company; or (iv)  willful failure by Executive to follow any lawful directives established for Executive by the Board. Notwithstanding the foregoing, the deficiencies referred to in clauses (ii), (iii), and (iv)  shall constitute Cause only if such deficiency remains uncured or continues or recurs after ten (10) days notice from the Company specifying in reasonable detail the nature of such deficiency, if such deficiency is capable of cure.

1.4. “COC Agreement” means that certain Executive Change of Control Termination Agreement between the Company and the Executive, dated August 10, 2005.

1.5. “Code” means the Internal Revenue Code of 1986, as amended, and any successor statute.

1.6. “Competitor” includes, but is not limited to, any business or enterprise that develops, designs, produces, markets or sells any product or service that is directly or indirectly competitive with any product or service developed, produced, marketed or sold by the Company, including actual or demonstrably anticipated research or development.

1.7 . “Change in Control” shall have the same meaning as the term “Change of Control” as defined in Section 1.04(a) through (d) of the COC Agreement.


1.8. “Disability” shall mean an illness, injury or other incapacitating condition as a result of which the Executive is absent from full time performance of his duties with the Company or is unable to perform his duties and responsibilities for a period of 60 consecutive days during the term of the Agreement or a period or periods aggregating to more than 90 days in any consecutive 6 month period but shall not include death.

1.9. “Good Reason” means: (i)  failure of the Company to continue the Executive in the position of Chief Executive Officer; (ii)  material diminution in the nature or scope of the Executive’s responsibilities, duties or authority, (iii)  diminution by the Company, without the Executive’s consent, of the Executive’s base salary; (iv)  a material breach by the Company of any provision of this Agreement; (v)  any relocation of Executive’s primary place of employment by more than 60 miles; or (vi)  the failure of the Company to require that any successor to all or substantially all of the business and assets of the Company assume all of the Company’s obligations under this Agreement. Notwithstanding the foregoing, a termination of employment shall not constitute a termination for Good Reason unless (A) Executive gives notice to the Company of the deficiency within ninety (90) days of its first occurrence; (B) the deficiency remains uncured after thirty (30) days from the giving of such notice; and (C) Executive resigns from the Company for Good Reason in accordance with Section 6.3 below within thirty (30) days of the end of such thirty (30)-day cure period.

1.10. “Person” means an individual, a corporation, a limited liability company, an association, a partnership, an estate, a trust or any other entity or organization, other than the Company or any of its Affiliates.

2. Employment . The Company hereby agrees to employ Executive, and the Executive hereby accepts employment as President and Chief Executive Officer of the Company, commencing on the Effective Date and continuing until terminated in accordance with Section 6 below. The Executive shall report directly to the Board and shall have active and general supervision and management over the business and affairs of the Company and shall have full power and authority to act for all purposes for and in the name of Company in all matters except where action of the Board is required by law, the Certificate of Incorporation or By-Laws of the Company, or resolutions of the Board. The Executive agrees to devote substantially all of his business time and efforts to the business and affairs of the Company and to the diligent performance of the duties and responsibilities assigned to the Executive hereunder, subject to periods of vacation and sick leave to which he is entitled. Notwithstanding the foregoing, Executive may serve on civic or charitable boards or committees and manage his personal investments and affairs, and continue to serve on any corporate board of directors on which he serves as of the Effective Date, to the extent such activities do not materially interfere with the performance of his duties and responsibilities hereunder. In addition, after consultation with the Board or the Governance & Nominating Committee of the Board, as to appropriateness with regard to the Executive’s duties and responsibilities to the Company, the Executive may also serve on other corporate boards of directors of corporations which are not Competitors, provided , however , that Executive shall at no time during the term of this Agreement serve on the board of directors of more than two publicly traded corporations other than the Company. In no event during the Term will Executive knowingly invest in any business which materially competes with the Company.

2.1. Board Service . Prior to the Effective Date, the Executive was appointed as a member of the Board and the Executive agrees to serve as a member of the Board during the term of this Agreement. Provided that the Executive’s employment with the Company has not previously been terminated, the Executive will be nominated for election as a member of the Board at the Company’s 2013 annual meeting of stockholders and at each subsequent annual meeting of stockholders during the term of this Agreement.

3. Compensation . During the term of this Agreement the Company agrees to pay Executive the following compensation:

3.1. Base Salary . Beginning on the Effective Date, the Executive’s annual base salary in his new position will be $625,000 per year, payable in accordance with the Company’s bi-weekly payroll practices. Executive’s salary will be subject to applicable payroll tax withholdings and deductions. From time to time Executive’s base salary may be subject to review in the discretion of the Board.

3.2. Variable Compensation . Commencing in 2013, Executive shall be entitled to participate in the Entegris Incentive Plan (“EIP”) in accordance with the terms and provisions of that plan as they may be established and modified from time to time by the Board, at a target payout level of 100% of Executive’s base salary. For 2012 Executive’s EIP participation at target shall be at his prior payout level applied to his prior base salary for the period January 1 through November 27 and shall be at the above 100% level at target applied to base salary at the rate specified in Subsection 3.1 above from the Effective Date through December 31, 2012.

 

2


3.3. Equity Awards . Executive shall retain all Company equity awards made prior to the Effective Date in accordance with the terms on which they were made. Beginning on the Effective Date Executive shall be eligible to participate in the Company’s Long Term Incentive Program and receive Company equity awards as from time to time determined by the Board.

3.4. Benefits . Executive will be eligible to participate in the existing Company employee benefit plans (including medical, dental, disability, SERP and 401(k) plans), subject to eligibility requirements, any waiting periods required for administrative purposes and the requirements of such plans, and any amendments or modifications thereto, all as determined by the Company. As a Company employee, Executive will also be entitled to vacation and paid time off in accordance with Company policies, as from time to time in effect. In addition Executive shall be reimbursed for his travel and entertainment expenses incurred in the conduct of Executive’s duties in accordance with the Company’s policies.

4. Term . The initial term of this Agreement shall be for a period of two years following the Effective Date and shall be automatically extended for additional one year terms on the second anniversary of the Effective Date and on each anniversary thereafter, unless the Board elects not to renew this Agreement by notice in writing delivered to Executive not less than 60 days prior to any such anniversary of the Effective Date.

5. Agreement of the Executive . The Executive hereby agrees to continue in the employ of the Company for the term of this Agreement; provided , however , that the Executive shall be entitled to resign from the Company for Good Reason.

6. Termination of Employment . For the term of this Agreement the Executive’s employment shall be subject to termination and may be entitled to severance benefits as follows:

6.1. By Employer For Cause . The Company may terminate the employment of the Executive for cause at any time. In the event of any such termination, the Executive shall be entitled to the Accrued Rights but shall have no claim for further compensation or severance pay beyond the date of termination.

6.2. By Employer Without Cause . The Company may terminate the Executive’s employment for any reason other than Cause (and other than as a result of death or disability) or elect not to renew this Agreement on 60 days prior written notice. In the event of such a termination or nonrenewal, the Company will pay Executive: (i)  the Accrued Rights; (ii)  an aggregate severance benefit in an amount equal to two times Executive’s base salary as in effect immediately prior to any such termination or nonrenewal; such severance benefit shall be paid in the form of salary continuation for the period commencing on the date of such termination and ending on the second anniversary of the date of any such termination or nonrenewal (a “Severance Pay Period”) and, for purposes of Section 409A of the Code, each installment of such salary continuation shall be treated as a separate payment; (iii)  the Company will continue health and dental benefits for Executive and his immediate family for the entire of the Severance Pay Period; (iv)  the restrictions with respect to all outstanding restricted stock unit (RSU) awards made to Executive shall continue to lapse in accordance with the schedule specified in the RSU award throughout the Severance Pay Period and all outstanding unvested stock options shall continue to vest in accordance with the schedule specified in the option grant throughout the Severance Pay Period; and (v)  vested stock options shall continue to be exercisable throughout the Severance Pay Period and for a period of ninety (90) days thereafter. The benefits provided in this Subsection 6.2 are expressly conditioned on Executive fulfilling his obligations under Sections 7 and 9 below.

6.3. By Executive For Good Reason . The Executive may resign from the Company on 60 days prior written notice for Good Reason, in which event Executive shall be entitled to: (i)  the Accrued Rights; (ii)  an aggregate severance benefit in an amount equal to two times Executive’s base salary as in effect immediately prior to any such resignation; such severance benefit shall be paid in the form of salary continuation for the period commencing on the effective date of such resignation and ending on the second anniversary thereof which period shall also constitute a Severance Pay Period and, for purposes of Section 409A of the Code, each installment of such salary continuation shall be treated as a separate payment; (iii)  the Company will continue health and dental benefits for Executive and his immediate family for the entire of such Severance Pay Period; (iv)  the restrictions with respect to all outstanding restricted stock unit (RSU) awards made to Executive shall continue to lapse in accordance with the schedule specified in the RSU award throughout the Severance Pay Period and all

 

3


outstanding unvested stock options shall continue to vest in accordance with the schedule specified in the option grant throughout the Severance Pay Period; and (v)  vested stock options shall continue to be exercisable throughout the Severance Pay Period and for a period of ninety (90) days thereafter . The benefits provided in this Subsection 6.3 are expressly conditioned on Executive fulfilling his obligations under Sections 7 and 9 below.

6.4. By Employer upon Death or Disability . The Executive’s employment shall terminate upon Executive’s death or Disability, in which event the Executive or his personal representative shall be entitled to: (i)  the Accrued Rights; (ii)  benefits provided by any death or disability insurance policy maintained for Executive’s benefit by the Company, subject to eligibility under and the terms of any such insurance policy; and (iii)  the restrictions with respect to all outstanding restricted stock unit (RSU) awards made to Executive shall lapse and all outstanding unvested stock options shall vest as of the date of such termination; Executive or his representative shall be entitled to the extended exercise period as specified in the award agreement(s) under which the RSU awards and stock option grants in question were made.

6.5. No Other Severance Benefits. Except as expressly provided above, the Executive shall not be eligible for or receive any severance benefits under this Agreement upon the occurrence of any one of the following: (a)  the Executive’s resignation of or retirement from employment with the Company, or (b)  the termination of Executive’s employment with the Company resulting from death or Disability, or (c)  the termination of Executive’s employment by the Company for Cause; or (d)  the Executive’s failure to perform or breach of any of the covenants, undertakings or other agreements set forth in Sections 7 and 9 below.; or (e)  the Executive’s entitlement to receive payments or benefits under the COC Agreement.

6.6. Withholding. All payments to be made or benefits to be provided to Executive in accordance with this Agreement shall be made net of all applicable income and employment taxes required to be withheld from such payments. If any taxes are required to be withheld prior to payment, the Company may reduce other compensation payable to Executive or reduce the amount of future payments hereunder.

7. Obligations of Executive . Upon the termination of Executive’s employment for whatever reason and as a condition to the Company’s payment of any severance or other benefits under this Agreement, the Executive agrees to do the following:

7.1 . Resignation as a Director . Upon termination of Executive’s employment by the Company for any reason or the resignation of or retirement from employment by the Executive, the Executive shall provide the Secretary with his written resignation from the Company’s Board and all subsidiary Boards, and the Board may choose to accept or reject the Executive’s resignation as a Company Board member.

7.2 . Execution of Release . Executive agrees that Executive shall execute and deliver to the Company a valid, binding, irrevocable general release of any claims he has or may have against the Company, including its subsidiaries, in connection with or relating to his employment by and/or termination from employment with the Company in the form attached hereto as Attachment A, within 21 days of the effective date of termination resulting from a termination by the Company, provided further that the Company shall be permitted to defer any payments and any benefits provided for in this Agreement until the 10 th day after the later of the receipt of such release and the time at which the release has become valid, binding and irrevocable. Notwithstanding the foregoing, the Company agrees and hereby acknowledges that the Release contained in Attachment A is not intended to and does not (i)  apply to any claims the Executive may bring to enforce the terms of this Agreement, the COC Agreement, or any outstanding equity award agreement and applicable equity plan; (ii)  release the Company of any obligation it may have pursuant to a written agreement, the Company’s certificate of incorporation or By-Laws or as mandated by statute to indemnify the Executive as an officer or director of the Company; and (iii)  release the Company of any obligation to provide and/or pay benefits to the Executive or the Executive’s estate, conservator or designated beneficiary(ies) under and in accordance with the terms of any applicable Company benefit plan and/or program.

7.3 . Compliance with Obligations . Executive agrees to continue to comply with any post-termination obligations he may owe to the Company arising from this Agreement or any other agreement the Executive has with the Company, its subsidiaries, affiliates or divisions, including but not limited to all outstanding equity award agreements and the COC Agreement.

 

4


7.4 . Cooperate with Litigation etc. Executive agrees to cooperate with and provide all reasonable assistance to the Company, with respect to any civil, criminal or administrative investigations, actions and/or proceedings involving the Company and relating in any way to Executive’s positions, duties and responsibilities while at the Company or to any matters which the Executive handled, participated in or had knowledge of while employed by the Company.

7.5 . No Disparagement . Executive agrees not to make any false or disparaging or derogatory statements or remarks to any Person about the Company’s (including its subsidiaries’) business affairs, financial condition, or about any Company or subsidiary directors, officers, employees, stockholders and agents.

7.6 . Return of Company Property. Within 60 days of the Executive’s termination of employment, for any reason, or his resignation or retirement, the Executive shall (i)  return to the Company all Company property in his possession or control, including all electronic documents; and (ii)  submit all documentation for any reimbursements owed to the Executive for business expenses incurred prior to the effective date of termination.

8. Change in Control . Reference is made to that certain the COC Agreement is hereby confirmed and applied to the Executive in his capacity as Chief Executive Officer of the Company and all references in the COC Agreement to Executive’s employment by the Company shall, from and after the Effective Date, be deemed to refer to Executive’s employment under this Agreement and to the terms of this Agreement. The Company agrees that if a Change in Control of the Company occurs while Executive is employed by the Company and the terms of the COC Agreement are triggered, then the Executive shall be entitled to the benefits provided to Executive by the COC Agreement in lieu of the benefits provided by this Agreement; provided , that if an event which is characterized as a “Change of Control” under the COC Agreement does not also constitute a “change in control event” as defined in Section 1.409A-3(i)(5)(i) of the Treasury Regulations, the portion of any severance payable under the COC Agreement that does not exceed the severance that would otherwise have been payable under Section 6 of this Agreement, to the extent constituting nonqualified deferred compensation subject to Section 409A of the Code, shall be paid as salary continuation in accordance with the provisions of this Agreement. The Company shall require any successor corporation or other business entity by agreement to expressly assume and agree to perform this Agreement, or any remaining portion thereof, in its entirety.

9. Restricted Activities. Executive acknowledges that during employment with the Company Executive has had access to confidential information which, if disclosed, would assist in competition against the Company and agrees that the following restrictions on Executive’s activities are necessary to protect the goodwill, confidential information and other legitimate interests of the Company:

9.1. Confidentiality . The Executive acknowledges that the Company and its subsidiaries continually develop confidential information; that the Executive may develop confidential information for the Company and its subsidiaries; and that the Executive may learn of confidential information during the course of employment. The Executive will comply with the policies and procedures of the Company and its subsidiaries for protecting confidential information and shall not disclose or allow disclosure to any Person or use, other than as required by applicable law after notice to the Company and a reasonable opportunity for the Company to seek protection of the confidential information prior to disclosure or for the proper performance of his duties to the Company and its subsidiaries, any confidential information obtained by the Executive incident to his employment or other association with the Company or any of its subsidiaries. The Executive understands that this restriction shall continue to apply after his employment terminates, regardless of the reason for such termination. This non-disclosure obligation shall not apply to information which is in the public domain or subsequently comes into the public domain through no fault of the Executive.

9.2 Non-Competition . Executive agrees that, during the Severance Pay Period Executive will not, directly or indirectly, whether as owner, partner, investor, consultant, agent, employee, co-venturer or otherwise work with or for a Competitor or otherwise compete with the Company within the United States or in any other country in which the Company is doing business during Executive’s employment with the Company. Specifically, but without limiting the foregoing, Executive agrees not to work or provide services, in any capacity, whether as an employee, independent contractor or otherwise, whether with or without compensation, to any Competitor or any other Person that is engaged in any business that is directly or indirectly competitive with the business of the Company, as conducted or in planning during Executive’s employment with the Company.

 

5


9.3. No Solicitation. Executive agrees that during the Severance Pay Period, Executive will not, directly or indirectly, (i)  hire any employee of the Company or seek to persuade any employee of the Company to discontinue employment; (ii)  solicit or encourage any independent contractor providing services to the Company to terminate or diminish its/his/her relationship with the Company; (iii)  solicit, entice, advise, encourage, or induce customers of the Company or its subsidiaries to discontinue or alter their business relationship with the Company; or (iv)  solicit, entice, advise, encourage, or induce customers or prospective customers to refrain from entering into a business relationship with the Company or its subsidiaries.

9.4. Necessary Restraints. Executive agrees without reservation that these restraints are necessary for the reasonable and proper protection of the Company and that each and every one of the restraints is reasonable in respect to subject matter, length of time and geographic area. Executive and the Company further agree that, in the event that any provision of this Section 9 is determined by any court of competent jurisdiction to be unenforceable by reason of its being extended over too great a time, too large a geographic area or too great a range of activities, that provision shall be deemed to be modified to permit its enforcement to the maximum extent permitted by law.

10. Section 409A . References herein to Executive’s termination of employment or similar or correlative terms shall mean and be limited to a “separation from service” as defined in Section 409A of the Code and the regulations thereunder. If at the time of a separation from service Executive is a “specified employee” as defined in Section 409A of the Code and the regulations thereunder, (i)  any payment under Section 6 hereof that constitutes nonqualified deferred compensation subject to Section 409A of the Code and that would otherwise have been paid within the six (6)-month period following separation from service shall instead be accumulated and paid on the date that follows the separation from service by six (6) months and one day, and (ii)  any shares deliverable under an RSU award made after the date hereof that constitutes deferred compensation subject to Section 409A of the Code and that would be delivered (without regard to this Section 10) upon Executive’s separation from service shall instead be delivered on the date that follows the separation from service by six (6) months and one day. The provisions of this Agreement shall be construed and administered to comply with, or comply with the requirements for exemption from, Section 409A of the Code, but neither the Company nor any other Person shall be liable to Executive for any failure so to comply.

11. Miscellaneous . This Agreement may be amended only by a written instrument signed by the Company and Executive. Except with respect to any other agreement between the Company and Executive that is specifically referenced herein and intended to continue beyond the execution of this Agreement, this Agreement shall constitute the entire agreement between the Company and Executive with respect to the subject matter hereof. This Agreement shall be governed by the laws of the Commonwealth of Massachusetts, other than the provisions thereof relating to conflict of laws. This Agreement shall be binding upon and inure to the benefit of the parties hereto and their respective successors, heirs, executors, administrators (in the case of Executive) and assigns. In the event that: (i)  Executive breaches any of the covenants contained in Sections 2 or 5 above, the Company’s remedy shall be limited to forfeiture by Executive of the severance benefits provided in this Agreement; or (ii)  Executive breaches any of the covenants contained in Section 7 or Section 9 above, the damage to the Company would be irreparable; Executive therefore agrees that the Company, in addition to any other remedies available to it, shall be entitled to preliminary and permanent injunctive relief against any breach or threatened breach by Executive of any of those covenants, without having to post bond. This Agreement may be executed in counterparts, each of which shall be deemed an original but all of which together shall constitute one and the same instrument.

IN WITNESS WHEREOF, the parties have executed this Executive Employment Agreement effective as of the day first written above.

 

E NTEGRIS , I NC .    

E XECUTIVE

By:   /s/ Paul L.H. Olson     /s/ Bertrand Loy
Name: Paul L. H. Olson     Name: Bertrand Loy
Title: Chairman of the Board    
12-12-2012     12/12/12
Execution Date     Execution Date

 

6


ATTACHMENT A

Release

In consideration of the payments and benefits described in the Executive Employment Agreement, dated November 28, 2012, between the undersigned and Entegris, Inc. (the “Company”), all of which the undersigned acknowledges he would not otherwise be entitled to receive, the undersigned hereby fully, forever, irrevocably and unconditionally releases, remises and discharges the Company, its successors and assigns and their respective officers, directors, stockholders, corporate affiliates, subsidiaries, parent companies, agents and employees (each in their individual and corporate capacities) (hereinafter, the “Released Parties”) from any and all claims, charges, complaints, demands, actions, causes of action, suits, rights, debts, sums of money, costs, accounts, reckonings, covenants, contracts, agreements, promises, doings, omissions, damages, executions, obligations, liabilities, and expenses (including attorneys’ fees and costs), of every kind and nature which the undersigned ever had or now has against the Released Parties arising out of the undersigned’s employment with and/or termination or separation from the Company or relating to his relationship as an officer or in any other capacity for the Company, including, but not limited to, all employment discrimination claims under Title VII of the Civil Rights Act of 1964, 42 U.S.C. §2000e et seq., the Age Discrimination in Employment Act, 29 U.S.C. § 621 et seq., the Americans With Disabilities Act of 1990, 42 U.S.C., §12101 et seq., the Family and Medical Leave Act, 29 U.S.C. § 2601 et seq., and the Massachusetts Fair Employment Practices Act., M.G.L. c.151B, §1 et seq., all as amended; all claims arising out of the Fair Credit Reporting Act, 15 U.S.C. §1681 et seq., the Employee Retirement Income Security Act of 1974 (“ERISA”), 29 U.S.C. §1001 et seq., the Massachusetts Civil Rights Act, M.G.L. c.12 §§11H and 11I, the Massachusetts Equal Rights Act, M.G.L. c.93, §102 and M.G.L. c.214, §1C, the Massachusetts Labor and Industries Act, M.G.L. c.149, §1 et seq., the Massachusetts Privacy Act, M.G.L. c. 214, §1B, and the Massachusetts Maternity Leave Act, M.G.L. c. 149, §105(d), all as amended; all common law claims including, but not limited to, actions in tort, defamation and breach of contract; all claims to any non-vested ownership interest in the Company, contractual or otherwise, including but not limited to claims to stock or stock options; and any claim or damage arising out of the undersigned’s employment with, termination or separation from the Company (including a claim for retaliation) under any common law theory or any federal, state or local statute or ordinance not expressly referenced above; provided , however , that notwithstanding the foregoing, the Company agrees and hereby acknowledges that this Release Agreement is not intended to and does not: (i)  apply to any claims Executive may bring to enforce the terms of the Executive Employment Agreement, (ii)  release the Company of any obligation it may have pursuant to a written agreement, the Company’s Certificate of Incorporation or By-Laws, or as mandated by statute to indemnify the undersigned as an officer of the Company; and (iii)  release the Company of any obligation to provide and/or pay benefits to the undersigned or his estate, conservator or designated beneficiary(ies) under and in accordance with the terms of any applicable Company benefit plan and/or program; provided further , that nothing in this Release prevents the undersigned from filing, cooperating with, or participating in any proceeding before the EEOC or a state Fair Employment Practices Agency (except that the undersigned acknowledges that he may not be able to recover any monetary benefits in connection with any such claim, charge or proceeding).

Waiver of Rights and Claims Under the Age Discrimination in Employment Act of 1967: Since the undersigned is 40 years of age or older, the undersigned acknowledges that he has been informed that he has or may have specific rights and/or claims under the Age Discrimination in Employment Act of 1967 (ADEA) and the undersigned specifically agrees that in consideration for the payments and benefits described in the Executive Employment Agreement, which the undersigned is not otherwise entitled to receive:

 

1. The undersigned specifically and voluntarily waives such rights and/or claims under the ADEA that he might have against the Released Parties to the extent such rights and/or claims arose prior to the date this Release was executed;

 

2. The undersigned understands that rights or claims under the ADEA which may arise after the date this Release is executed are not waived;

 

3. The undersigned was advised that he has at least 21 days within which to consider the terms of this Release and to consult with or seek advice from an attorney of his choice or any other person of his choosing prior to executing this Release;

 

4. The undersigned has carefully read and fully understands all of the provisions of this Release, and he knowingly and voluntarily agrees to all of the terms set forth in this Release; and

 

7


5. In entering into this Release the undersigned is not relying on any representation, promise or inducement made by the Company or its attorneys with the exception of those promises described in this document.

Period for Review and Consideration of Release: The undersigned acknowledges that he has been informed and understands that he has twenty-one (21) days to review this Release and consider its terms before signing it and that such 21-day review period will not be affected or extended by any revisions, whether material or immaterial, that might be made to this Release.

Accord and Satisfaction : The amounts set forth in the Executive Employment Agreement shall be complete and unconditional payment, settlement, accord and/or satisfaction with respect to all obligations and liabilities of the Released Parties to the undersigned, including, without limitation, all claims for back wages, salary, vacation pay, draws, incentive pay, bonuses, cash awards, equity awards, commissions, severance pay, reimbursement of expenses, any and all other forms of compensation or benefits, attorney’s fees, or other costs or sums.

Revocation Period : The undersigned may revoke this Release at any time during the seven-day period immediately following his execution hereof. As a result, this Release shall not become effective or enforceable and the Company shall have no obligation to make any payments or provide any benefits described herein until the seven-day revocation period has expired.

 

      

 

Name      Date
      

`

Witness      Date

 

8

EXHIBIT 10.2

ENTEGRIS, INC.

2011 RSU Unit Award Agreement

In consideration of services rendered to Entegris, Inc. (the “Company”), the Company periodically makes equity incentive awards consisting of restricted stock units with respect to the Company’s Common Stock $0.01 par value (“Stock”) to certain key employees, non-employee directors, consultants or advisors of the Company under the Company’s 2010 Stock Plan or a predecessor plan (the “Plan”). Any key employee, non-employee director, consultant or advisor (a “Participant”) who receives a restricted stock unit award (the “Award”) is notified either in writing or via email and the Award is credited to the Participant’s account as reflected on the Participant’s Award Summary page (“Award Summary”) for Entegris equity awards on the Morgan Stanley Smith Barney Benefit Access web page found at https://www.benefitaccess.com. By clicking on the “accept award” button on the Participant’s Award Summary page or by otherwise receiving the benefits of the Award, Participant: (i) acknowledges that Participant has received a copy of the Plan, of the related prospectus providing information concerning awards under the Plan and of the Company’s most recent Annual Report on Form 10-K; and (ii) accepts the Award and agrees with the Company that the Award is subject to the terms of the Plan and to the following terms and conditions:

A RTICLE I – RSU A WARD

 

  1.1 . Effective Date . This Agreement shall take effect as of the date specified with respect to the Award in the Award Summary provided to you online at www.benefitaccess.com (the “Effective Date”).

 

  1.2 . Restricted Stock Units Subject to Award . The Award consists of that number of restricted stock units (the “RSU”) with respect to the Stock that has been approved for the Award to Participant by the Plan Administrator. Each RSU is equivalent to one share of the Stock. The Participant’s rights to the RSU are subject to the restrictions described in this Agreement and in the Plan (which is incorporated herein by reference with the same effect as if set forth herein in full) in addition to such other restrictions, if any, as may be imposed by law.

 

  1.3 . Meaning of Certain Terms . The term “vest” as used herein with respect to any RSU means the lapsing of the restrictions described herein with respect to such RSU.

 

  1.4 . Nontransferability of RSUs . The RSU acquired by the Participant pursuant to this Agreement shall not be sold, transferred, pledged, assigned or otherwise encumbered or disposed of except as provided below and in the Plan.

 

  1.5 . Forfeiture Risk . If the Participant ceases to be employed or retained by the Company and/or its subsidiaries for any reason any then outstanding and unvested RSU acquired by the Participant hereunder shall be automatically and immediately forfeited. The Participant hereby appoints the Company as the attorney-in-fact of the Participant to take such actions as may be necessary or appropriate to effectuate the cancellation of a forfeited RSU.

 

  1.6 . Vesting of RSUs . The RSU acquired hereunder shall vest in accordance with the provisions of this Article I, Section 1.6 and applicable provisions of the Plan, as follows:

 

  Ø 25% of the RSUs vest on and after February 19, 2012;

 

  Ø an additional 25% of the RSUs vest on and after February 19, 2013;

 

  Ø an additional 25% of the RSUs vest on and after February 19, 2014; and

 

  Ø the final 25% of the RSUs vest on and after February 19, 2015.


Notwithstanding the foregoing, no RSU shall vest on any vesting date specified above unless: (A) the Participant is then, and since the Effective Date has continuously been, employed or retained by the Company or its subsidiaries; and (B) the Participant has fulfilled the obligations specified in Section 1.9 below. Upon vesting each RSU shall entitle Participant to receive one share of Stock.

 

  1.7 . No Dividends, etc .. The Participant shall NOT be entitled: (i) to receive any dividends or other distributions paid with respect to the Stock to which the RSU relates, or (ii) to vote any Stock with respect to which the RSU relates.

 

  1.8 . Sale of Vested Shares . The Participant understands that Participant will be free to sell any Stock with respect to which the RSU relates once the RSU has vested, subject to (i) satisfaction of any applicable tax withholding requirements with respect to the vesting of such RSU; (ii) the completion of any administrative steps (for example, but without limitation, the transfer of certificates) that the Company may reasonably impose; and (iii) applicable requirements of federal and state securities laws.

 

  1.9 . Certain Tax Matters . The Participant expressly acknowledges that the award or vesting of the RSU acquired hereunder, may give rise to “wages” subject to withholding. The Participant expressly acknowledges and agrees that Participant’s rights hereunder are subject to Participant promptly paying to the Company in cash (or by such other means as may be acceptable to the Company in its discretion, including, if the Administrator so determines, by the delivery of previously acquired Stock or shares of Stock acquired hereunder in accordance with the Plan or by the withholding of amounts from any payment hereunder) all taxes required to be withheld in connection with such award, vesting or payment.

A RTICLE II – GENERAL PROVISIONS

 

  2.1 . Definitions . Except as otherwise expressly provided, all terms used herein shall have the same meaning as in the Plan. The term “Administrator” means the Management Development & Compensation Committee of the Company’s Board of Directors.

 

  2.2 . Mergers, etc . In the event of any of (i) a consolidation or merger in which the Company is not the surviving corporation or which results in the acquisition of all or substantially all of the Company’s then outstanding common stock by a single person or entity or by a group of persons and/or entities acting in concert, (ii) a sale or transfer of all or substantially all the Company’s assets, or (iii) a dissolution or liquidation of the Company (a “Covered Transaction”), all outstanding Awards pursuant to Article I above shall vest and if relevant become exercisable and all deferrals, other than deferrals of amounts that are neither measured by reference to nor payable in shares of Stock, shall be accelerated, immediately prior to the Covered Transaction and upon consummation of such Covered Transaction all Awards then outstanding and requiring exercise shall be forfeited unless assumed by an acquiring or surviving entity or its affiliate as provided in the following sentence. In the event of a Covered Transaction, unless otherwise determined by the Administrator, all Awards that are payable in shares of Stock and that have not been exercised, exchanged or converted, as applicable, shall be converted into and represent the right to receive the consideration to be paid in such Covered Transaction for each share of Stock into which such Award is exercisable, exchangeable or convertible, less the applicable exercise price or purchase price for such Award. In connection with any Covered Transaction in which there is an acquiring or surviving entity, the Administrator may provide for substitute or replacement Awards from, or the assumption of Awards by, the acquiring or surviving entity or its affiliates, any such substitution, replacement or assumption to be on such terms as the Administrator determines, provided that no such replacement or substitution shall diminish in any way the acceleration of Awards provided for in this section.

 

-2-


  2.3 . Retirement, etc . If Participant is an employee of the Company and ceases to be an employee due to retirement with the consent of the Administrator, Participant will be entitled to immediate Vesting of all unvested RSUs awarded pursuant to this Agreement. As used herein the term “retirement with the consent of the Administrator” means that Participant’s retirement must be with the consent of the Administrator, which consent may be granted or withheld in the discretion of the Administrator. In the event that Participant ceases to be an employee under circumstances that would otherwise qualify for retirement but the consent of the Administrator has not been granted, then Participant shall not be entitled to the benefits of this Section 2.3.

 

  2.4. No Understandings as to Employment etc . The Participant further expressly acknowledges that nothing in the Plan or any modification thereto, in the Award or in this Agreement shall constitute or be evidence of any understanding, express or implied, on the part of the Company to employ or retain the Participant for any period or with respect to the terms of the Participant’s employment or to give rise to any right to remain in the service of the Company or of any subsidiary or affiliate of the Company, and the Participant shall remain subject to discharge to the same extent as if the Plan had never been adopted or the Award had never been made.

 

  2.5 . Data Protection Waiver. Participant understands and agrees that in order to process and administer the Award and the Plan, the Company and the Administrator may process personal data and/or sensitive personal information concerning the Participant. Such data and information includes, but is not limited to, the information provided in the Award grant package and any changes thereto, other appropriate personal and financial data about Participant, and information about Participant’s participation in the Plan and transactions under the Plan from time to time. Participant hereby gives his or her explicit consent to the Company and the Administrator to process any such personal data and/or sensitive personal information. Participant also hereby gives his or her explicit consent to the Company and the Administrator to transfer any such personal data and/or sensitive personal data outside the country, in which Participant works or is employed, and to the United States. The legal persons granted access to such Participant personal data are intended to include the Company, the Administrator, the outside plan administrator as selected by the Company from time to time, and any other compensation consultant or person that the Company or the Administrator may deem appropriate for the administration of the Plan or the Award. Participant has been informed of his or her right of access and correction to Participant’s personal data by contacting the Company. Participant also understands that the transfer of the information outlined herein is important to the administration of the Award and the Plan and failure to consent to the transmission of such information may limit or prohibit Participant’s participation under the Plan and/or void the Award.

 

  2.6 . Savings Clause . In the event that Participant is employed or provides services in a jurisdiction where the performance of any term or provision of this Agreement by the Company: (i) will result in a breach or violation of any statute, law, ordinance, regulation, rule, judgment, decree, order or statement of public policy of any court or governmental agency, board, bureau, body, department or authority, or (ii) will result in the creation or imposition of any penalty, charge, restriction, or material adverse effect upon the Company, then any such term or provision shall be null, void and of no effect.

 

  2.7 . Amendment . This Agreement may be amended only by an instrument in writing executed and delivered by the Participant and the Company.

 

-3-

EXHIBIT 10.3

ENTEGRIS, INC.

2011 Stock Option Award Agreement

In consideration of services rendered to Entegris, Inc. (the “Company”), the Company periodically makes equity incentive awards consisting of stock options with respect to the Company’s Common Stock $0.01 par value (“Stock”) to certain key employees, non-employee directors, consultants or advisors of the Company under the Company’s 2010 Stock Plan or a predecessor plan (the “Plan”). Any key employee, non-employee director, consultant or advisor (a “Participant”) who receives a stock option award (the “Award”) is notified in writing or via email and the Award is credited to the Participant’s account as reflected on the Participant’s Award Summary page (“Award Summary”) for Entegris equity awards on the Morgan Stanley Smith Barney Benefit Access web page found at https://www.benefitaccess.com. By clicking on the “accept award” button on the Participant’s Award Summary page or by otherwise receiving the benefits of the Award, Participant: (i) acknowledges that Participant has received a copy of the Plan, of the related prospectus providing information concerning awards under the Plan and of the Company’s most recent Annual Report on Form 10-K; and (ii) accepts the Award and agrees with the Company that the Award is subject to the terms of the Plan and to the following terms and conditions:

A RTICLE I –S TOCK O PTION G RANT

 

  1.1. Option Grant . Effective as of the date specified in the Award Summary provided to you online (the “Grant Date”), the Company hereby grants Participant a non-qualified option to purchase that number of shares of Stock that has been approved for the Award to the Participant by the Plan Administrator (“Option”). The shares of Stock awarded are specified in the individual Award Summary provided to Participant online at www.benefitaccess.com. The Option is not intended to be an incentive stock option under Section 422 of the Internal Revenue Code of 1986, as amended (the “Code”) and will be interpreted accordingly.

 

  1.2 . Option Exercise Price . The exercise (grant) price of the Option shall be 100% of the closing price of the Stock on the NASDAQ stock market on the Grant Date. The exercise price is provided to Participant online at www.benefitaccess.com.

 

  1.3. Option Vesting Schedule . This Option shall vest and become exercisable, except as hereinafter provided, in whole or in part, at any time and from time to time as follows:

 

  Ø 1/4 on and after February 19, 2012;

 

  Ø an additional 1/4 on and after February 19, 2013;

 

  Ø an additional 1/4 on and after February 19, 2014;

 

  Ø the final 1/4 on and after February 19, 2015.

In the event that any of the above vesting dates falls on a day that the Company is not open for business, then vesting of the applicable portion shall occur on the next succeeding day that the Company is open for business.

 

  1.4. Expiration of Option . To the extent that the Option shall not have been exercised, this Option shall expire at 5:00 p.m. local time at the Company’s headquarters on February 19, 2018 and no part of the Option may be exercised thereafter. If an expiration, termination or forfeiture date described herein falls on a weekday, Participant must exercise the Option before 5:00 p.m. local time at the Company’s headquarters on that date. If an expiration, termination or forfeiture date described herein falls on a weekend or any other day on which the NASDAQ stock market is not open, Participant must exercise the Options before 5:00 p.m. local time at the Company’s headquarters on the last NASDAQ business day prior to the expiration, termination or forfeiture date.


  1.5. Exercise of Option . When and as vested, this Option may be exercised up to the number of shares of Stock specified in Section 1.1 above only by serving written notice on the designated stock plan administrator. Payment of the Option exercise price specified in Section 1.2 above may be made by: (a) payment in cash; (b) arrangement with the Company’s stock plan administrator which is acceptable to the Company where payment of the Option exercise price is made pursuant to an irrevocable direction to the broker to deliver all or part of the proceeds from the sale of the shares of the Stock issueable under the Option to the Company; (c) exchange of previously owned shares of Stock, valued at fair market value on the day of exercise as provided in the Plan; (d) delivery of any other lawful consideration approved in advance by the Administrator specified in the Plan or its delegate, or (e) any combination of the foregoing. Fractional shares may not be exercised. Participant will have the rights of a stockholder only after the shares of Stock have been issued to the Participant in accordance with this Agreement.

 

  1.6. No Assignment of Option . This Option may not be assigned or transferred except as may otherwise be provided by the terms of this Agreement.

 

  1.7. Basic Adjustments for Changes in Capital Structure . The Administrator shall make adjustments from time to time in the number of shares of Stock covered by the Option in such reasonable manner as the Administrator may determine to reflect any increase or decrease in the number of issued shares of Stock of the Company resulting from a subdivision or consolidation of shares or any other capital adjustment, the payment of stock dividends or other increases or decreases in such Stock effected without receipt of consideration by the Company.

 

  1.8. Termination of Employment or Service with the Company . All exercisable Options granted herein must be exercised within ninety (90) days following the date on which the employment or services of Participant with the Company or one of its subsidiaries terminates (i.e., last day worked, excluding any severance period) (“Termination Date”), or be forfeited, except as provided in Section 2.3 below and as follows:

 

  (a) In the event of Participant’s death during employment/services, each Option granted hereunder will be exercisable, whether or not vested on the date of Participant’s death, until the earlier of: (1)  the first anniversary of Participant’s date of death; or (2)  the original expiration date of the option. In the event of Participant’s death during a Special Exercise Period as specified in Section 2.3 below, each Option will continue to be exercisable in accordance with the provisions of that Section.

 

  (b) In the event of the termination of employment/services of Participant due to Disablement, Participant may exercise the Option, to the extent not previously exercised and whether or not the option had vested on or prior to the date of employment or service termination, at any time prior to 365 days following the later of the date of Participant’s separation from service due to Participant’s Disablement or the date of determination of Participant’s Disablement, provided , however , that while the claim of Disablement is pending, Options that were unvested at termination of services may not be exercised and Options that were vested at termination of services may be exercised only during the period set forth in the introductory clause to this Section 1.8. The Option shall terminate on the 365th day from the date of determination of Disablement, to the extent that it is unexercised. For these purposes “Disablement” shall be determined in accordance with the standards and procedures of the then-current Long Term Disability policies maintained by the Company, which is generally a physical condition arising from an illness or injury, which renders an individual incapable of performing work in any occupation, as determined by the Company.

 

  (c) If Participant’s employment/services is terminated for “Cause”, all granted but unexercised stock Options shall be forfeited on Participant’s Termination Date.

 

-2-


  1.9. Suspension of Option Exercises . For administrative or other reasons, the Company may, from time to time, suspend the ability of Participants to exercise options for limited periods of time. Notwithstanding the above, the Company shall not be obligated to deliver any shares of Stock during any period when the Company determines that the exerciseability of the Option or the delivery of shares hereunder would violate any federal, state or other applicable laws.

 

  1.10. Withholding of Income Taxes . Nonqualified stock options are taxable upon exercise. To the extent required by applicable federal, state or other law, Participant shall make arrangements satisfactory to the Company for the satisfaction of any withholding tax obligations that arise by reason of an Option exercise and, if applicable, any sale of shares of the Stock. The Company shall not be required to issue shares of the Stock or to recognize any purported transfer of shares of the Stock until such obligations are satisfied. The Administrator designated in the Plan may permit these obligations to be satisfied by having the Company withhold a portion of the shares of the Stock that otherwise would be issued to Participant upon exercise of the Option, or to the extent permitted by the Administrator, by tendering shares of the Stock previously acquired.

A RTICLE II – GENERAL PROVISIONS

 

  2.1. Definitions . Except as otherwise expressly provided, all terms used herein shall have the same meaning as in the Plan. The term “Administrator” means the Management Development & Compensation Committee of the Company’s Board of Directors.

 

  2.2. Mergers, etc . In the event of any of (i) a consolidation or merger in which the Company is not the surviving corporation or which results in the acquisition of all or substantially all of the Company’s then outstanding common stock by a single person or entity or by a group of persons and/or entities acting in concert, (ii) a sale or transfer of all or substantially all the Company’s assets, or (iii) a dissolution or liquidation of the Company (a “Covered Transaction”), the vesting of all Options under each outstanding Award pursuant to Article I above will be accelerated and such shares will become fully exercisable prior to the Covered Transaction on a basis that gives the Participant a reasonable opportunity, as determined by the Administrator, following delivery of the shares, to participate as a stockholder in the Covered Transaction. In connection with any Covered Transaction in which there is an acquiring or surviving entity, the Administrator may provide for substitute or replacement Awards from, or the assumption of Awards by, the acquiring or surviving entity or its affiliates, any such substitution, replacement or assumption to be on such terms as the Administrator determines, provided that no such replacement or substitution shall diminish in any way the acceleration of Options provided for in this section.

 

  2.3.

Retirement, etc . If Participant is an employee of the Company and ceases to be an employee due to retirement with the consent of the Administrator, Participant will be entitled to a special exercise period with respect to the Option (the “Special Exercise Period”) which will begin on Participant’s Retirement Date and will end on the earlier of the 4 th anniversary of Participant’s Retirement Date or the expiration date specified in Section 1.4 above. During the Special Exercise Period, the Option will continue to vest in accordance with the schedule specified in Section 1.3 above and will be exercisable to the same extent that it would have been exercisable had Participant remained in service with the Company or one of its subsidiaries. As used herein the term “retirement with the consent of the Administrator” means that Participant’s retirement must be with the consent of the Administrator, which consent may be granted or withheld in the discretion of the Administrator. In the event that Participant ceases to be an employee under circumstances that would otherwise qualify for retirement but the consent of the Administrator has not been granted, then Participant shall not be entitled to the benefits of this Section 2.3.

 

-3-


  2.4. No Understandings as to Employment, etc . The Participant further expressly acknowledges that nothing in the Plan or any modification thereto, in the Award or in this Agreement shall constitute or be evidence of any understanding, express or implied, on the part of the Company to continue the employment or services of the Participant for any period or to give rise to any right to remain in the service of the Company or of any subsidiary or affiliate of the Company, and the Participant shall remain subject to discharge to the same extent as if the Plan had never been adopted or the Award had never been made.

 

  2.5. Acts of Misconduct . If Participant has allegedly committed an act of serious misconduct, including, but not limited to, embezzlement, fraud, dishonesty, unauthorized disclosure of trade secrets or confidential information, breach of fiduciary duty or nonpayment of an obligation owed to the Company, an Executive Officer of the Company may suspend Participant’s rights under the Award, including the vesting of Options and the exercise of vested Options, pending a decision by the Administrator or an Executive Officer of the Company to terminate the Award. No rights under the Award may be exercised during such suspension or after such termination.

 

  2.6. Data Protection Waiver. Participant understands and agrees that in order to process and administer the Award and the Plan, the Company and the Administrator may process personal data and/or sensitive personal information concerning the Participant. Such data and information includes, but is not limited to, the information provided in the Award grant package and any changes thereto, other appropriate personal and financial data about Participant, and information about Participant’s participation in the Plan and transactions under the Plan from time to time. Participant hereby gives his or her explicit consent to the Company and the Administrator to process any such personal data and/or sensitive personal information. Participant also hereby gives his or her explicit consent to the Company and the Administrator to transfer any such personal data and/or sensitive personal data outside the country, in which Participant works, is employed, or provides services, and to the United States. The legal persons granted access to such Participant personal data are intended to include the Company, the Administrator, the outside plan administrator as selected by the Company from time to time, and any other compensation consultant or person that the Company or the Administrator may deem appropriate for the administration of the Plan or the Award. Participant has been informed of his or her right of access and correction to Participant’s personal data by contacting the Company. Participant also understands that the transfer of the information outlined herein is important to the administration of the Award and the Plan and failure to consent to the transmission of such information may limit or prohibit Participant’s participation under the Plan and/or void the Award.

 

  2.7. Disputes . The Administrator designated in the Plan or its delegate shall finally and conclusively determine any disagreement concerning the Award.

 

  2.8. Savings Clause . In the event that Participant is employed or provides services, in a jurisdiction where the performance of any term or provision of this Agreement by the Company: (i) will result in a breach or violation of any statute, law, ordinance, regulation, rule, judgment, decree, order or statement of public policy of any court or governmental agency, board, bureau, body, department or authority, or (ii) will result in the creation or imposition of any penalty, charge, restriction, or material adverse effect upon the Company, then any such term or provision shall be null, void and of no effect.

 

  2.9. Amendment . This Agreement may be amended only by an instrument in writing executed and delivered by the Participant and the Company.

 

-4-

Exhibit 21

Subsidiaries of Entegris, Inc.

 

Name of Subsidiary

 

Jurisdiction

Entegris Singapore Pte. Ltd.

  Singapore

Entegris Pte. Ltd.

  Singapore

Entegris Korea Ltd.

  South Korea

Pureline Co. Ltd. (1)

  South Korea

Entegris Pacific Ltd.

  Delaware

Entegris (Shanghai) Microelectronics Trading Company Ltd.

  Peoples Republic of China

Entegris International Holdings B.V.

  The Netherlands

Entegris Asia Pte. Ltd.

  Singapore

Entegris GmbH (2)

  Germany

Entegris (UK) Ltd.

  United Kingdom

Entegris Ireland Ltd.

  Ireland

Entegris SAS

  France

Entegris Israel Ltd.

  Israel

Entegris Materials Integrity India Private Limited

  India

Entegris Cleaning Process (ECP) SAS

  France

Nihon Entegris K.K.

  Japan

Entegris Japan Co. Ltd.

  Japan

Entegris Malaysia Sdn. Bhd.

  Malaysia

Entegris Precision Technology Corp.(3)

  Taiwan

Entegris Specialty Materials, LLC

  Delaware

Poco Graphite, Inc.

  Delaware

Poco Graphite International, Inc.

  Delaware

Poco Graphite SARL (4)

  France

Entegris Taiwan Enterprise Partnership (5)

  Taiwan

Entegris Asia LLC

  Delaware

Entegris Taiwan Technologies Co. Ltd.

  Taiwan

 

(1) Owned 37.5% by Entegris Korea Ltd. and 62.5% by registrant
(2) Owned 90% by Entegris International Holdings B.V. and 10% by registrant
(3) Owned 50% by Entegris International Holdings B.V. and 50% by registrant
(4) Owned 90% by Poco Graphite, Inc. and 10% by Poco Graphite International, Inc.
(5) Owned 99% by Entegris International Holdings B.V. and 1% by registrant

 

1

EXHIBIT 23

Consent of Independent Registered Public Accounting Firm

The Board of Directors

Entegris, Inc.:

We consent to the incorporation by reference in the registration statement (Nos. 333-160212 and 333-105962) on Form S-3 and (Nos. 333-167178, 333-127599, and 333-53382) on Form S-8 of Entegris, Inc. of our report dated February 22, 2013, with respect to the consolidated balance sheets of Entegris, Inc. as of December 31, 2012 and 2011, and the related consolidated statements of operations, comprehensive income, equity, and cash flows for each of the years in the three-year period ended December 31, 2012 and the effectiveness of internal control over financial reporting as of December 31, 2012, which report appears in the December 31, 2012 annual report on Form 10-K of Entegris, Inc.

/s/ KPMG LLP

Minneapolis, Minnesota

February 22, 2013

Exhibit 24

POWER OF ATTORNEY

KNOW ALL MEN BY THESE PRESENTS, that the undersigned Directors and Officers of Entegris, Inc. (the “Corporation”), do hereby constitute and appoint Bertrand Loy, Gregory B. Graves and Peter W. Walcott and each of them individually, their true and lawful attorneys and agents to execute on behalf of the Corporation the Form 10-K Annual Report of the Corporation for the fiscal year ended December 31, 2012, together with all such amendments thereto on Form 10-K/A as well as additional instruments related thereto which such attorneys and agents may deem to be necessary and desirable to enable the Corporation to comply with the requirements of the Securities Exchange Act of 1934, as amended, and any regulations, orders, or other requirements of the United States Securities and Exchange Commission thereunder in connection with the preparation and filing of said documents, including specifically, but without limitation of the foregoing, power and authority to sign the names of each of such Directors and Officers on his behalf, as such Director or Officer, as indicated below to the said Form 10-K Annual Report or documents filed or to be filed as a part of or in connection with such Form 10-K Annual Report; and each of the undersigned hereby ratifies and confirms all that said attorneys and agents shall do or cause to be done by virtue thereof.

 

SIGNATURE

  

TITLE

 

DATE

/s/ Bertrand Loy.

   President, Chief Executive Officer   February 12, 2013
Bertrand Loy    and Director  

/s/ Paul L. H. Olson

   Chairman of the Board, Director   February 13, 2013
Paul L.H. Olson     

/s/ Michael A. Bradley

   Director   February 12, 2013
Michael A. Bradley     

/s/ Marvin D. Burkett.

   Director   February 12, 2013
Marvin D. Burkett     

/s/ R. Nicholas Burns.

   Director   February 13, 2013
R. Nicholas Burns     

/s/ Daniel W. Christman

   Director   February 12, 2013
Daniel W. Christman     

/s/ Roger D. McDaniel

   Director   February 12, 2013
Roger D. McDaniel     

/s/ Brian F. Sullivan

   Director   February 13, 2013
Brian F. Sullivan     

EXHIBIT 31.1

CERTIFICATION PURSUANT TO SECTION 302 OF THE SARBANES-OXLEY ACT OF 2002

I, Bertrand Loy, certify that:

 

1. I have reviewed this Annual Report on Form 10-K of Entegris, Inc.;

 

2. Based on my knowledge, this annual report does not contain any untrue statement of a material fact or omit to state a material fact necessary to make the statements made, in light of the circumstances under which such statements were made, not misleading with respect to the period covered by this report;

 

3. Based on my knowledge, the financial statements, and other financial information included in this annual report, fairly present in all material respects, the financial condition, results of operations and cash flows of the registrant as of, and for, the periods presented in this report;

 

4. The registrant’s other certifying officer and I are responsible for establishing and maintaining disclosure controls and procedures (as defined in Exchange Act Rules 13a-15(e) and 15d-15(e)) and internal control over financial reporting (as defined in Exchange Act Rules 13a-15(f) and 15d-15(f)) for the registrant and have:

 

  a) Designed such disclosure controls and procedures, or caused such disclosure controls and procedures to be designed under our supervision, to ensure that material information relating to the registrant, including its consolidated subsidiaries, is made known to us by others within those entities, particularly during the period in which this report is being prepared;

 

  b) Designed such internal control over financial reporting, or caused such internal control over financial reporting to be designed under our supervision, to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles;

 

  c) Evaluated the effectiveness of the registrant’s disclosure controls and procedures and presented in this annual report our conclusions about the effectiveness of the disclosure controls and procedures as of the end of the period covered by this report based on such evaluation; and

 

  d) Disclosed in this report any change in the registrant’s internal control over financial reporting (as defined in Exchange Act Rules 13a-15(f) and 15d-15(f)) that occurred during the registrant’s most recent fiscal quarter (the registrant’s fourth fiscal quarter in the case of an annual report) that has materially affected, or is reasonably likely to materially affect, the registrant’s internal control over financial reporting.

 

5. The registrant’s other certifying officer and I have disclosed, based on our most recent evaluation of internal control over financial reporting, to the registrant’s auditors and the audit committee of registrant’s board of directors (or persons performing the equivalent functions):

 

  a) All significant deficiencies and material weaknesses in the design or operation of internal control over financial reporting which are reasonably likely to adversely affect the registrant’s ability to record, process, summarize and report financial information; and

 

  b) Any fraud, whether or not material, that involves management or other employees who have a significant role in the registrant’s internal control over financial reporting.

Date: February 22, 2013

 

/s/ Bertrand Loy
Bertrand Loy

Chief Executive Officer

(Principal Executive Officer)

EXHIBIT 31.2

CERTIFICATION PURSUANT TO SECTION 302 OF THE SARBANES-OXLEY ACT OF 2002

I, Gregory B. Graves, certify that:

 

1. I have reviewed this Annual Report on Form 10-K of Entegris, Inc.;

 

2. Based on my knowledge, this annual report does not contain any untrue statement of a material fact or omit to state a material fact necessary to make the statements made, in light of the circumstances under which such statements were made, not misleading with respect to the period covered by this report;

 

3. Based on my knowledge, the financial statements, and other financial information included in this annual report, fairly present in all material respects, the financial condition, results of operations and cash flows of the registrant as of, and for, the periods presented in this report;

 

4. The registrant’s other certifying officer and I are responsible for establishing and maintaining disclosure controls and procedures (as defined in Exchange Act Rules 13a-15(e) and 15d-15(e)) and internal control over financial reporting (as defined in Exchange Act Rules 13a-15(f) and 15d-15(f)) for the registrant and have:

 

  a) Designed such disclosure controls and procedures, or caused such disclosure controls and procedures to be designed under our supervision, to ensure that material information relating to the registrant, including its consolidated subsidiaries, is made known to us by others within those entities, particularly during the period in which this report is being prepared;

 

  b) Designed such internal control over financial reporting, or caused such internal control over financial reporting to be designed under our supervision, to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles;

 

  c) Evaluated the effectiveness of the registrant’s disclosure controls and procedures and presented in this annual report our conclusions about the effectiveness of the disclosure controls and procedures as of the end of the period covered by this report based on such evaluation; and

 

  d) Disclosed in this report any change in the registrant’s internal control over financial reporting (as defined in Exchange Act Rules 13a-15(f) and 15d-15(f)) that occurred during the registrant’s most recent fiscal quarter (the registrant’s fourth fiscal quarter in the case of an annual report) that has materially affected, or is reasonably likely to materially affect, the registrant’s internal control over financial reporting.

 

5. The registrant’s other certifying officer and I have disclosed, based on our most recent evaluation of internal control over financial reporting, to the registrant’s auditors and the audit committee of registrant’s board of directors (or persons performing the equivalent functions):

 

  a) All significant deficiencies and material weaknesses in the design or operation of internal control over financial reporting which are reasonably likely to adversely affect the registrant’s ability to record, process, summarize and report financial information; and

 

  b) Any fraud, whether or not material, that involves management or other employees who have a significant role in the registrant’s internal control over financial reporting.

Date: February 22, 2013

 

/s/ Gregory B. Graves
Gregory B. Graves

Chief Financial Officer

(Principal Financial Officer)

EXHIBIT 32.1

CERTIFICATION PURSUANT TO 18 U.S.C. SECTION 1350, AS ADOPTED PURSUANT TO SECTION 906

OF THE SARBANES-OXLEY ACT OF 2002

In connection with the Annual Report on Form 10-K (the “Report”) of Entegris, Inc, a Delaware corporation (the “Company”), for the fiscal year ended December 31, 2012 as filed with the Securities and Exchange Commission on the date hereof, I, Bertrand Loy, Chief Executive Officer of the Company, hereby certify, pursuant to 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002 that:

 

  (1) The Report fully complies with the requirements of Section 13(a) or 15(d) of the Securities Exchange Act of 1934; and

 

  (2) The information contained in the Report fairly presents, in all material respects, the financial condition and results of operations of the Company.

Date: February 22, 2013

 

/s/ Bertrand Loy
Bertrand Loy
Chief Executive Officer

EXHIBIT 32.2

CERTIFICATION PURSUANT TO 18 U.S.C. SECTION 1350, AS ADOPTED PURSUANT TO SECTION 906

OF THE SARBANES-OXLEY ACT OF 2002

In connection with the Annual Report on Form 10-K (the “Report”) of Entegris, Inc, a Delaware corporation (the “Company”), for the fiscal year ended December 31, 2012 as filed with the Securities and Exchange Commission on the date hereof, I, Gregory B. Graves, Chief Financial Officer of the Company, hereby certify, pursuant to 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002 that:

(1) The Report fully complies with the requirements of Section 13(a) or 15(d) of the Securities Exchange Act of 1934; and

(2) The information contained in the Report fairly presents, in all material respects, the financial condition and results of operations of the Company.

Date: February 22, 2013

 

/s/ Gregory B. Graves
Gregory B. Graves
Chief Financial Officer