UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549
 
FORM 10-K
 
(Mark One)
☑  ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934
For the fiscal year ended December 31, 2017
 
or
 
TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES
EXCHANGE ACT OF 1934
For the transition period from _________ to _____________
 
Commission file number: 001-38273
 
ACM Research, Inc.
(Exact Name of Registrant as Specified in Its Charter)
 
Delaware
(State or Other Jurisdiction of Incorporation or Organization)
 
94-3290283
(I.R.S. Employee Identification No.)
 
 
 
42307 Osgood Road, Suite I, Fremont, California
 
94539
(Address of Principal Executive Offices)
 
(Zip Code)
 
 
 
Registrant’s telephone number, including area code: (510) 445-3700
 
Securities registered pursuant to Section 12(b) of the Act:
 
Title of each class
 
Name of each exchange on which registered
Class A Common Stock, $0.0001 par value per share
 
Nasdaq Global Market
 
Securities registered pursuant to Section 12(g) of the Act:
 
None.
 
Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes ☐  No
 
Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes No
 
Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes ☑  No ☐
 
Indicate by check mark whether the registrant has submitted electronically and posted on its corporate Web site, if any, every Interactive Data file required to be submitted and posted pursuant to Rule 405 of Regulation S-T during the preceding 12 months (or for such shorter period that the registrant was required to submit and post such files). Yes ☑ No ☐
 
Indicate by check mark if disclosure of delinquent filers pursuant to Item 405 of Regulation S-K is not contained herein, and will not be contained, to the best of registrant's knowledge, in definitive proxy or information statements incorporated by reference in Part III of this Form 10-K or any amendment to this Form 10-K.
 
Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, or a smaller reporting company. See definitions of “large accelerated filer,” “accelerated filer” and “smaller reporting company” in Rule 12b-2 of the Exchange Act.
 
Large accelerated filer ☐
Accelerated filer ☐
Non-accelerated file (Do not check if a smaller reporting company)
Smaller reporting company ☑
 
Emerging growth company ☑
 
 
 
 
If an emerging growth company, indicate by check mark if the registrant has elected not to use the extended transition period for complying with any new or revised financial accounting standards provided pursuant to Section 13(a) of the Exchange Act.
 
Indicate by check mark whether the Registrant is a shell company (as defined in Rule 12b-2 of the Exchange Act). Yes ☐ No ☑
 
The registrant was not a public company as of the last business day of its most recently completed second fiscal quarter and therefore cannot calculate the aggregate market value of its voting and non-voting common equity held by non-affiliates as of such date.
 
As of March 19, 2018, there were 12,992,768 shares of Class A Common Stock and 2,409,738 shares of Class B Common Stock outstanding.
 
Documents Incorporated By Reference
 
The registrant intends to file a proxy statement pursuant to Regulation 14A within 120 days of the end of the fiscal year ended December 31, 2017. Portions of such proxy statement are incorporated by reference into Part III of this Annual Report on Form 10-K.
 
 

 
2
 
T ABLE OF CONTENTS
 
 
 
6
 
 
23
 
 
45
 
 
45
 
 
45
 
 
45
 
 
 
45
 
 
47
 
 
48
 
 
72
 
 
73
 
 
107
 
 
107
 
 
108
 
 
 
109
 
 
109
 
 
109
 
 
109
 
 
109
 
 
 
109
 
 
109
 
 
 
 
 
 
112
 
We conduct our business operations principally through ACM Research (Shanghai), Inc., or ACM Shanghai, a subsidiary of ACM Research, Inc., or ACM Research. Unless the context requires otherwise, references in this report to “our company,” “our,” “us,” “we” and similar terms refer to ACM Research, Inc. (including its predecessor prior to its redomestication from California to Delaware in November 2016) and its subsidiaries, including ACM Shanghai, collectively.
 
SAPS, TEBO and ULTRA C are our trademarks. This report also contains other companies’ trademarks, registered marks and trade names, which are the property of those companies.
 
 
3
 
FORWARD-LOOKING STATEMENTS AND STATISTICAL DATA
 
Special Note Regarding Forward-Looking Statements
 
This Annual Report on Form 10-K, or this report, contains forward-looking statements that involve risks and uncertainties. All statements, other than statements of historical facts, included in this report regarding our strategy, future operations, future financial position, future revenue, projected costs, prospects, plans and objectives of management are forward-looking statements. In some cases, forward-looking statements can be identified by terms such as “may,” “might,” “will,” “objective,” “intend,” “should,” “could,” “can,” “would,” “expect,” “believe,” “anticipate,” “project,” “target,” “design,” “estimate,” “predict,” “potential,” “plan” or the negative of these terms, and similar expressions intended to identify forward-looking statements.
 
These statements reflect our current views with respect to future events and are based on our management’s belief and assumptions and on information currently available to our management. Although we believe that the expectations reflected in these forward-looking statements are reasonable, these statements relate to future events or our future operational or financial performance, and involve known and unknown risks, uncertainties and other factors that may cause our actual results, performance or achievements to be materially different from any future results, performance or achievements expressed or implied by these forward-looking statements. Some of the key factors that could cause actual results to differ from our expectations include:
 
 
our expectations regarding our expenses and revenue, our ability to maintain and expand gross profit;
 
 
the rate and degree of market acceptance of any of our products, particularly in the People’s Republic of China, or the PRC;
 
 
the size and growth of the potential markets for our products and our ability to serve those markets;
 
 
the progress and costs of developing and commercializing new products;
 
 
our expectations regarding competition;
 
 
the anticipated trends and challenges in our business and the market in which we operate;
 
 
our anticipated growth strategies;
 
 
our ability to attract or retain key personnel;
 
 
our expectations regarding, and the stability of our, supply chain and manufacturing;
 
 
our expectations regarding federal, state and foreign regulatory requirements, including export controls, tax law changes and interpretations, economic sanctions and anti-corruption regulations;
 
 
regulatory developments in the United States and foreign countries;
 
 
our ability to obtain and maintain intellectual property protection for our products; and
 
 
our use of proceeds from this offering and the concurrent private placement.
 
 
4
 
Any forward-looking statements made by us in this report speak only as of the date of our filing of this report with the Securities and Exchange Commission, or the SEC, and are subject to a number of risks, uncertainties and assumptions described in “Item 1A. Risk Factors” and elsewhere in this report. Except as required by law, we assume no obligation to update these statements publicly, or to update the reasons actual results could differ materially from those anticipated in these statements, even if new information becomes available in the future.
 
This report, and the documents that we reference in this report and have filed as exhibits to this report, should be read completely and with the understanding that our actual future results may be materially different from what we expect. We qualify all of our forward-looking statements by these cautionary statements.
 
Industry and Market Data
 
This report contains statistical data and estimates, including forecasts, that are based on independent industry and government organization publications or other publicly available information, as well as other information based on our internal sources. While we are not aware of any misstatements regarding any third-party data presented in this prospectus, estimates, and in particular forecasts, involve numerous assumptions and are subject to risks and uncertainties as well as change based on various factors, including those discussed under “Item 1A. Risk Factors” and elsewhere in this report. These and other factors could cause results to differ materially from those expressed in the estimates included in this report.
 
The following list identifies the sources of certain of the third-party forecasts and other estimates included in this report, together with the section or subsection of this report in which that estimate appears. As of the date of our filing of this report with the SEC, each of the following sources was publicly available without charge:
 
 
PricewaterhouseCoopers, China’s impact on the semiconductor industry: 2017 update , September and November 2017 (“Business—Industry Background—Growing Influence of the PRC Across the Semiconductor Industry”);
 
 
IC Insights, Inc., Semiconductor Unit Shipments Forecast to Exceed 1 Trillion Devices in 2018 , January 25, 2018 (“Business—Industry Background”);
 
 
Semiconductor Industry Association and Nathan Associates, Beyond Borders: The Global Semiconductor Value Chain: How an Interconnected Industry Promotes Innovation and Growth , May 2016 (“Business—Industry Background—Escalating Need for Advanced Chip Manufacturing Equipment”);
 
 
Semiconductor Equipment and Materials International, World Fab Forward Report, December 4, 2017 (“Business—Industry Background—Escalating Need for Advanced Chip Manufacturing Equipment”);
 
 
International Trade Administration of the United States Department of Commerce, 2016 Top Markets Report Semiconductors and Semiconductor Manufacturing Equipment Country Case Study , July 1, 2016 (“Business—Industry Background—Growing Influence of the PRC Across the Semiconductor Industry”); and
 
 
Semiconductor Equipment and Materials International, World Fab Forecast Report , November 2016 (“Business—Our Solutions—China-based operations”).
 
 
5
 
P ART I
 
I tem 1.   Business
 
Overview
 
We develop, manufacture and sell single-wafer wet cleaning equipment, which semiconductor manufacturers can use in numerous manufacturing steps to remove particles, contaminants and other random defects, and thereby improve product yield, in fabricating advanced integrated circuits, or chips. Our Ultra C equipment is designed to remove random defects from a wafer surface effectively, without damaging a wafer or its features, even at an increasingly advanced process node (the minimum line width on a chip) of 22 nanometers, or nm, or less. Our equipment is based on our innovative, proprietary Space Alternated Phase Shift, or SAPS, and Timely Energized Bubble Oscillation, or TEBO, technologies. We developed our proprietary technologies to enable manufacturers to produce chips that reach their ultimate physical limitations while maintaining product yield, which is the percentage of chips on a wafer that meet manufacturing specifications.
 
Since 2007 we have focused our development efforts on developing single-wafer wet cleaning equipment based on differentiated proprietary technology:
 
 
Our SAPS technology, which we introduced in 2009, employs alternating phases of megasonic waves to deliver megasonic energy to flat and patterned wafer surfaces in a highly uniform manner on a microscopic level. We have shown SAPS technology to be more effective than conventional megasonic and jet spray technologies in removing random defects across an entire wafer as node sizes shrink from 300nm to 45nm, including node sizes, for which jet spray technology has proven to be ineffective.
 
 
Our TEBO technology, which we introduced in March 2016, has been developed to provide effective, damage-free cleaning for both conventional two-dimensional, or 2D, and three-dimensional, or 3D, patterned wafers at advanced process nodes. We have demonstrated the damage-free cleaning capabilities of TEBO technology on 3D patterned wafers for feature nodes as small as 16nm.
 
As of March 19, 2018, we had been issued more than 140 patents in the United States, the People’s Republic of China or the PRC, Japan, Korea, Singapore and Taiwan.
 
We seek to market our single-wafer wet processing equipment by first establishing a referenceable base of leading logic and memory chip makers, whose use of our products can influence decisions by other manufacturers. Our SAPS technology employs alternating phases of megasonic waves to deliver megasonic energy to flat and patterned wafer surfaces in a highly uniform manner on a microscopic level. We believe this process is helping us penetrate the mature integrated circuit manufacturing markets and build credibility with industry leaders. Since beginning to place evaluation SAPS equipment with a small number of selected customers in 2009, we have worked on equipment improvements and qualification with those customers, who include a leading Korean memory chip company and four leading PRC memory and logic chip foundries. Using a similar “demo-to-sales” process, we have placed TEBO evaluation equipment with a leading PRC foundry and a leading Taiwanese foundry and we recognized revenue from our initial sale of TEBO equipment in 2016. Our revenue from the selected customers’ purchases of single-wafer wet cleaning equipment totaled $19.3 million, or 53.2% of our revenue, in 2017 and $21.5 million, or 78.4% of our total revenue, in 2016.
 
In 2006 we established our operational center in Shanghai, and we currently conduct substantially all of our development and manufacturing activities in the PRC. Our Shanghai operations position us near potential customers in not only the PRC but also Taiwan, Korea and throughout Asia, giving us increased access to those customers and reducing shipping and manufacturing costs for equipment they purchase. We continue to perform strategic planning and marketing activities in our corporate headquarters in Fremont, California, and we intend to increase the personnel and functions at our Fremont headquarters as part of our plan to expand our market presence in North America and Europe.
 
 
Industry Background
 
Semiconductors are the foundation of the exponential growth of digital technologies and applications. For more than thirty years, strong demand for personal computers, tablet computers, mobile phones and other digital products has fueled the growth of the semiconductor industry. Today the migration of computing, networking and storage to the cloud and the proliferation of “smart” appliances, buildings, cars and devices—the “Internet of Things”—are driving semiconductor development and manufacturing. IC Insights, Inc. (January 2018) estimates that worldwide semiconductor shipments grew from 103.7 billion units in 1987 to 986.2 billion units in 2017 and will increase at a rate of 9.0% to reach 1.1 trillion units in 2018.
 
Continuing Demand for Faster, Better, Cheaper Chips
 
New and enhanced digital applications and products have relied on the development and deployment of progressively faster and more powerful—but ever smaller and less costly—semiconductors known as integrated circuits, or chips. A chip is an array of transistors and other circuit elements built on a wafer of substrate material, typically silicon, with wiring and other interconnects that connect the circuit elements to each other and to outside devices. Chips store and manipulate data in binary form, with the two largest categories of integrated circuits being memory chips, for data storage and retrieval, and logic chips, for computer processing and control.
 
For a half century the number of transistors that can fit in a given area has roughly doubled every two years, a rate of improvement referred to as “Moore’s Law.” Chip feature sizes have been repeatedly scaled down to pack more transistors in smaller chips. The minimum line width on a chip, known as the node, shrank from 30,000nm in 1963 to 1,000nm in 1989, 90nm in 2003 and 14nm in 2014. A chip today may contain more than thirty billion transistors, with features finer than one ten-thousandth of the diameter of a human hair.
 
In recent years, however, the rate of chip improvement delivered solely by shrinking feature sizes has slowed. At the 22nm node, transistor and interconnect parameters for conventional chips, in which features are arrayed in 2D structures, begin to approach their critical performance limitations. For example, photolithography, a key chip manufacturing process that projects 193nm laser light through masks to print patterns on a wafer surface, may be unable to create patterning with sufficient resolution and selectivity. Moreover, the feature density and power levels of a 22nm chip may require additional circuit elements, such as special circuitry to correct errors or to track and adapt to performance variations, that occupy chip area and increase cost.
 
In order to extend Moore’s Law, chip designers and manufacturers are developing and implementing technologies and architectures to transition to advanced chips with 3D structures. Logic chip makers are rapidly adopting use of 3D, fin-shaped Fin Field Effect Transistors, or FinFET , which provide faster switching while consuming less power. For memory chip manufacturers, 3D NAND stacks memory cells to deliver greater capacity at lower cost and 3D cross point , a transistor-less memory cell architecture, is being developed to accelerate processing of massive data sets. EUV lithography is a promising manufacturing technology that could improve patterning capability and increase feature density at nodes of 5nm and beyond by extending photolithography to the extreme ultraviolet wavelength of 13.5nm.
 
Escalating Need for Advanced Chip Manufacturing Equipment
 
Manufacturing steps differ for logic and memory chips, but all chips are manufactured in two general processes:
 
 
In the front-end fabrication process, hundreds of copies of functional circuitry are created on a 100–to 300–millimeter, or mm, silicon wafer over a period of 6 to 8 weeks. A sequence of a few hundred complex, repetitive steps forms transistors, other circuit elements and interconnects on the wafer through the deposit and selective removal of successive material layers, using photolithography to create a design, deposition to add layers of materials, etching to remove unwanted exposed materials, and chemical mechanical planarization or CMP to smooth the surface for the next cycle of process steps.
 
 
In the back-end assembly and testing process, a completed wafer from the front-end process is cut into individual “dies.” Each die is tested against specifications and, if compliant, encapsulated in a package that protects the die and supports critical power and electrical connections. The resulting chip is then subjected to final electrical and reliability tests.
 
 
Manufacturing advanced chips at smaller nodes requires a more complex process flow that incorporates enhanced, more expensive capital equipment, or tools, to perform increasingly complex process steps, as well as an increased number of tools to perform a greater number of process steps per wafer. A chip fabrication plant, or fab, may have more than 500 highly specialized tools representing more than 70 categories of equipment, all situated in an environmentally controlled “clean room.” As a result, construction of a new advanced fab can cost between $5 and $10 billion (Semiconductor Industry Association/Nathan Associates, May 2016). Semiconductor Equipment and Materials International or SEMI (December 2017) estimates that worldwide fab tool billings totaled $57.0 billion in 2017 and will reach $63.0 billion by 2017, an increase of 10.5%.
 
Because of the significant capital expenditures and manufacturing expenses, chip makers focus on improving their yield, which is the percentage of chips on a wafer that conform to specifications. Even with use of precision tools in a controlled manufacturing environment, a substantial number of chips may contain defects and be rejected, directly impacting cost-per-chip and profitability. We estimate that a 1% decrease in yield can reduce annual profits by $30 to $50 million for a fab producing dynamic random-access memory, or DRAM, chips on 100,000 wafers per month—and a 1% yield loss may decrease profits even more for a fab making logic chips, which typically have higher prices. Moreover, lower yield may necessitate greater fab capacity, increasing capital expenditures.
 
New technologies and architectures introduced in transitioning to more advanced nodes can lead to significant yield loss. We believe chip manufacturers with state-of-the-art, established fabs for process nodes of 22nm or more typically target a yield of 90% or more, but yield can drop to as low as 50% when, for example, a manufacturer migrates to chips incorporating FinFET. To reduce yield loss, a manufacturer transitioning to a more advanced node must implement additional fabrication steps and new process capabilities, which in turn require innovative, reliable front-end tool solutions.
 
Growing Influence of the PRC Across the Semiconductor Industry
 
The PRC is both the largest and the fastest-growing market for semiconductors. According to a study by PricewaterhouseCoopers (November 2017), during the ten-year period ending in 2016, the PRC’s semiconductor consumption grew at a CAGR of 12.0% while worldwide consumption increased by only 3.2%, and in 2016 the PRC consumed 58.5% of the world’s semiconductors. The PRC government is implementing focused policies, including state-led investment initiatives, that aim to create and support an independent domestic semiconductor supply chain spanning from design to final system production. The PRC has already made significant progress across the principal semiconductor industry sectors, as shown in the following market information compiled by PricewaterhouseCoopers (September and November 2017):
 
The chip design, or “fabless,” industry is the fastest growing segment of the PRC’s semiconductor industry, with revenue increasing from $2.3 billion in 2006 to $24.8 billion in 2016, a CAGR of 26.6%.
 
China’s share of worldwide semiconductor manufacturing capacity expanded from 7.3% in 2006 to 14.2% in 2016, and its semiconductor manufacturing revenue increased at a CAGR of 15.4% over the ten-year period ending in 2016.
 
China’s semiconductor packaging, assembly and test revenue also grew at a CAGR of 14.2% over the ten-year period ending in 2015.
 
The PRC’s semiconductor tools industry produced less than 0.5% of the world’s semiconductor manufacturing equipment in 2014 (International Trade Association of U.S. Department of Commerce, July 2016). The PRC’s governmental goals anticipate significant growth in all segments of the domestic semiconductor industry, however, and tool manufacturers with a Chinese presence should experience support from both upstream and downstream Chinese companies in the semiconductor supply chain.
 
 
Emerging Criticality of Wafer Cleaning
 
In the chip fabrication process, random defects such as particles, residual chemicals and other contaminants can lead directly to yield loss by distorting images for pattern formation in a lithographic step, obstructing deposition of a film, blocking an etch or otherwise impairing chip performance. Random defects can originate from substrate material, tools, fab personnel, clean room air and nearly every other aspect of the manufacturing process. Shapes and sizes of random defects vary widely, and with each decrease in process node, the dimension of the smallest random defect that can cause a chip to fail, known as the “killer defect” size, shrinks.
 
Chip fabrication includes steps designed to eliminate random defects without collapsing patterns, causing loss of materials or otherwise damaging features. The number of these steps has increased dramatically with chip complexity. Cleaning is now the most frequently repeated step in chip fabrication and may be performed in as many as 200 steps for each wafer. A sub-optimal cleaning process has repeated opportunities to reduce yield by being either insufficiently forceful, which leaves random defects behind, or overly aggressive, which damages the chip. Over the past decade, fabricators seeking to improve cleaning performance have switched from batch processes, in which several wafers are processed at the same time, to single-wafer cleaning tools.
 
There are two basic types of cleaning methods. Wet cleaning uses liquid chemistry by applying combinations of solvents, acids and water to spray, scrub, etch and dissolve random defects. Dry cleaning uses gas phase chemistry, relying on chemical reactions and techniques such as lasers, aerosols and ozonated chemistries. Wet cleaning typically outperforms dry cleaning in achieving wafer surface cleanliness and smoothness, and it is the standard method for single-wafer cleaning, constituting more than 90% of the cleaning steps in the fabrication process. RCA clean, a standardized process using hot alkaline and acidic hydrogen peroxide solutions, has been the industry standard for wet cleaning for a quarter century.
 
Wet cleaning’s chemistry has not changed appreciably over the past 25 years, but its implementation has shifted from simple immersion to increasingly sophisticated techniques such as jet spraying and megasonic vibration. Jet spray cleaning shoots high-velocity, tens of micron-sized water droplets at a wafer surface to remove random defects. Megasonic cleaning transmits acoustic waves through a fluid bath to produce, in a process known as transient cavitation, bubble oscillation that dislodges random defects. The cavitation can dislodge defects unreachable by jet spray, but the bubbles collapse quickly and can generate energy that damages wafer features.
 
As jet spray and megasonic cleaning techniques have continued to develop, chip makers have regularly upgraded from simple tanks with on-off switches to complex, specialized, expensive single-wafer cleaning tools.
 
Inadequacy of Traditional Single-Wafer Cleaning Technologies
 
At process nodes of 100nm or more, chips consisted of 2D features and architectures, which made wafer cleaning relatively straightforward. Cleaning was most commonly performed in batch processes using an immersion tool with megasonic energy. Megasonic vibrations transmit at relatively high frequencies and therefore create smaller bubbles that remove more-diminutive defects and that generate lower levels of destructive transient energy when they collapse.
 
As process nodes shrank below 100nm, equipment manufacturers introduced single-wafer megasonic cleaning tools, which processed wafers one by one, rather than in batches. Because these tools did not deliver energy uniformly across the wafer surface, manufacturers found the tools did not clean wafers thoroughly and evenly and, increasingly as process nodes continued to shrink, led to damage to patterned wafer structures. Equipment makers also began to offer single-wafer cleaning tools that used jet spraying rather than acoustic vibrations. The physical energyof jet spraying enabled these tools to be used with less assertive chemicals, which reduced wafer material loss. Once process nodes reach 45nm, however, the force of jet sprayed water droplets can damage finer chip features and jet spraying can fail to eliminate killer defect-sized contaminants due to its reduced lateral fluid speed as the fluid approaches the wafer surfaces.
 

As process nodes continue to shrink to 22nm and less, finer feature sizes and denser, more complex architectures make the cleaning process even more complicated and challenging:
 
 
Random defects are harder to remove as the killer defect size decreases. Smaller random defects are denser and bind to a wafer more strongly than larger contaminants, and additional energy is required to deliver greater levels of necessary force to more minuscule sizes.
 
 
New 3D architectures are often more delicate or fragile than 2D conventional structures. FinFET structures, for example, are relatively tall, thin and deep, which makes them more susceptible to damage or destruction by the physical force of jet sprays and megasonic transient cavitation used in the cleaning process.
 
 
New chip technologies and architectures amplify cleaning challenges. It is, for example, progressively more difficult to remove random defects from the bottom of a chip structure, such as a via, as the “aspect ratio” of the structure’s depth to its width increases. While conventional 2D structures typically have aspect ratios of 3-to-1 or less, FinFET structures have aspect ratios of 10-to-1 currently and are expected to have aspect ratios in excess of 20-to-1 for future process nodes. Moreover, aspect ratios for 3D NAND, 3D cross point and other 3D structures may reach 60-to-1.
 
Effective, damage-free cleaning poses a significant challenge for manufacturers seeking to fabricate chips in the advanced process nodes available today or introduced in the future, including the 10nm node announced for 2017 and the 7nm node announced for 2018. In order to extend Moore’s law, chip manufacturers must be able to remove ever smaller random defects from not only flat wafer surfaces but also progressively more intricate, finer-featured 3D chip architectures, in each case without incurring damage or material loss that curtails yield and profits. Because fabrication of chips at 22nm or less requires an increasingly complex, specialized process flow, a next-generation single-wafer cleaning tool solution should be designed to be easily tailored to meet a manufacturer’s unique process requirements. The single-wafer cleaning tools should produce less environmentally harmful chemical waste and should be easily accessible to manufacturers in the burgeoning Chinese market.
 
Our Solutions
 
We have developed single-wafer wet cleaning equipment that chip manufacturers can use in numerous steps of the fabrication process in order to avoid yield loss at existing and future process nodes. Using our proprietary technologies, we have designed our wet cleaning equipment to remove random defects from chip wafers with fine feature sizes, complex patterning, dense circuit architectures and high aspect ratios more effectively than traditional jet spray and transient megasonic technologies. Key elements of our solutions include:
 
Differentiated technologies for advanced chips .   Our proprietary single-wafer wet cleaning technologies control the power intensity and distribution of megasonic cleaning in order to remove random defects from a wafer surface effectively, without damaging the wafer or its features, even at process nodes of 22nm or less. We developed these technologies to help semiconductor manufacturers produce chips that reach their ultimate physical limitations.
 
 
Flat and patterned wafer surfaces. Our SAPS technology, which we introduced in 2009, employs alternating phases of megasonic waves to deliver megasonic energy to flat and patterned wafer surfaces in a highly uniform manner on a microscopic level. We have shown SAPS technology to be more effective than conventional megasonic and jet spray technologies in removing random defects across an entire wafer as node sizes shrink from 300nm to 45nm, including node sizes less than 50nm in size, for which jet spray technology has proven to be ineffective. Based on their initial mass production experience with SAPS equipment, customers have increased their use of SAPS equipment by adding cleaning steps to the manufacturing processes for advanced chips in order to achieve higher yields and reduce chemical usage.
 
 
High-aspect ratio conventional 2D and advanced 3D patterned wafer surfaces. Our TEBO technology, which we introduced in March 2016, has been developed to provide effective, damage-free cleaning for both conventional 2D and 3D patterned wafers at advanced process nodes. TEBO technology provides multi-parameter control of bubble cavitation during megasonic cleaning by using a sequence of rapid pressure changes to force bubbles to oscillate at controlled sizes, shapes and temperatures. Because the bubbles oscillate instead of imploding or collapsing, TEBO technology avoids the pattern damage caused by transient cavitation in traditional megasonic cleaning processes. We have demonstrated the damage-free cleaning capabilities of TEBO technology on patterned wafers for feature nodes as small as 1xnm (16nm to 19nm), and we have shown that TEBO technology can be applied in manufacturing processes for patterned chips with 3D architectures such as FinFET, DRAM, 3D NAND and 3D cross point memory having aspect ratios as high as 60-to-1. We believe TEBO technology can be applied for even smaller process nodes. TEBO tools are currently being evaluated by a selected group of leading memory and logic chip manufacturers.
 
China-based operations .   In 2006 we established our operational center in Shanghai, and currently we conduct substantially all of our development and manufacturing activities in the PRC. This strategy positions us near potential customers throughout Asia, giving us increased access to those customers and reducing shipping and manufacturing costs for equipment they purchase. SEMI (November 2016) estimates 78% of new front-end facilities and production lines starting operation from 2017 through 2020 will be constructed in Asia, with 42% expected to be built in the PRC. Our Shanghai location also gives us access to a large pool of highly qualified potential employees.
 
 
Extensive intellectual property protection .   Since our formation in 1998, we have focused on building a strategic portfolio of intellectual property to support and protect our key innovations, including most recently our SAPS and TEBO technologies. As of March 19, 2018, we had been issued more than 140 patents in the United States, the PRC, Japan, Korea, Singapore and Taiwan.
 
Custom-made wafer assembly packaging solutions .   In addition to our product offerings for single-wafer cleaning in the front-end wafer fabrication process, we leverage our technology and expertise to provide a wide range of advanced packaging equipment, such as coaters, developers, photoresist strippers, scrubbers, wet etchers and copper-plating tools, to back-end wafer assembly and packaging factories, particularly in the PRC. For these offerings, we focus on providing customized equipment with competitive performance, service and pricing.
 
Our Strategy
 
Our objective is to be the leading global provider of a full range of wet cleaning equipment for the manufacture of advanced integrated circuits. To achieve this goal, we are pursuing the following strategies:
 
Extend technology leadership .   We intend to build upon our technology leadership   in wet processing by continuing to develop and refine our differentiated SAPS and TEBO technologies and equipment to address cleaning challenges presented by the manufacture of increasingly advanced chip nodes. Our investment in research and development totaled $5.1 million, or 14.1% of our revenue, in 2017 and $3.3 million, or 11.9% of our revenue, in 2016. We will continue to invest in product development and to strengthen our global patent portfolio in strategic jurisdictions.
 
Establish referenceable customer base .   In commercializing our SAPS equipment, we placed evaluation equipment with selected customers, who subsequently purchased additional SAPS equipment to enable them to add more cleaning steps during their manufacturing processes. Using a similar “demo-to-sales” process, we have placed TEBO evaluation equipment with a leading PRC foundry and a leading Taiwanese foundry and we recognized revenue from our initial sale of TEBO equipment in 2016. Based on our market experience, we believe that implementation of our SAPS and TEBO equipment by selected leading memory and logic chip manufacturers will encourage evaluation of our equipment by other manufacturers, who will view the leading companies’ implementation as a validation of our equipment that facilitates a shorter evaluation process.
 
Leverage local presence to address growing Chinese market .   The market for semiconductor manufacturing equipment in the PRC is expected to grow markedly in the upcoming years. Our experience has shown that chip manufacturers in the PRC demand equipment meeting their specific technical requirements and prefer building relationships with local suppliers. We established our operations in Shanghai a decade ago, and we will continue to work closely with chip manufacturers in the PRC and throughout Asia to understand their specific requirements, encourage them to adopt our SAPS and TEBO technologies, and enable us to design innovative products and solutions to address their needs.
 
Continue to improve performance through operational excellence. We actively manage our business through principles of operational excellence designed to ensure continuous improvement of our key operational and financial metrics. As we increase the breadth of our product offerings and the size of our operations and customer base, we must continue to develop and implement these principles in order to improve the efficiency and quality of our operations, satisfy our customers’ needs, and meet our financial goals.
 
Pursue strategic acquisitions and relationships .   To complement and accelerate our internal growth, we may pursue acquisitions of businesses, technologies, products or business relationships that will expand the functionality of our products, provide access to new markets or customers, or otherwise complement our existing operations. We also may seek to expand our product and service offerings by entering into business relationships involving additional distribution channels, investments in other enterprises and joint ventures, or similar arrangements. In September 2017, we acquired 20% of the outstanding equity of Ninebell, one of our key subassembly providers.

Our Products and Technologies
 
We develop, manufacture and sell single-wafer wet cleaning equipment usable at numerous steps of the chip manufacturing process flow to improve product yield for conventional 2D and advanced 3D patterned chips at small process nodes. Our equipment, which we market and sell under the brand name “Ultra C,” is designed to remove random defects from a wafer surface effectively, without damaging the wafer or its features, even at increasingly advanced process nodes.
 
After incorporating in 1998, we initially focused on developing tools for manufacturing process steps involving the integration of ultra-low-K materials and copper. Ultra-low-K materials, which insulate better than silicon, presented opportunities for size scaling and performance improvement, and higher conductivity copper had begun to replace aluminum in forming interconnects. Our early efforts focused in particular on stress-free copper-polishing technology, and we sold tools based on that technology in the early 2000s.
 
 
In 2006 we established our operational center in Shanghai. This strategic decision was made to help us establish and build relationships with chip manufacturers in China and throughout Asia, which helps us to understand their requirements and to develop innovative technologies and tools addressing their needs.
 
In 2007 we began to focus our development efforts on single-wafer wet-cleaning solutions for the front-end fabrication process. We have developed innovative, proprietary technologies that reintroduce megasonic technology to the wafer cleaning process. Our approach is based on our understanding of the shortfalls and limitations of previously existing megasonic cleaning technologies that led to ineffective cleaning and damaged chip features. In 2009 we introduced our proprietary Space Alternated Phase Shift, or SAPS, megasonic technology, which can be applied in flat patterned wafer cleaning at numerous steps during the chip fabrication process. By delivering megasonic energy uniformly across a wafer, SAPS technology eliminates the particle removal inefficiencies that characterized traditional megasonic cleaning technologies. In March 2016 we introduced our proprietary Timely Energized Bubble Oscillation, or TEBO, technology, which can be applied at numerous steps during the fabrication of small node conventional 2D and 3D patterned wafers. By providing multi-parameter control of bubble cavitation during megasonic cleaning, TEBO technology avoids the fine-pattern damage caused by previously existing megasonic cleaning processes.
 
We have designed our equipment models for SAPS and TEBO solutions using a modular configuration that enables us to create a wet-cleaning tool meeting the specific requirements of a customer, while using pre-existing designs for chamber, electrical, chemical delivery and other modules. Our modular approach supports a wide range of customer needs and facilitates the adaptation of our model tools for use with the optimal chemicals selected to meet a customer’s requirements. Our tools are offered principally for use in manufacturing chips from 300mm silicon wafers, but we also offer solutions for 150mm and 200mm wafers and for nonstandard substrates, including quartz, sapphire and glass.
 
In addition to our SAPS and TEBO tool offerings, we offer a range of custom-made equipment, such as cleaners, coaters, developers, photoresist strippers, wet etchers and copper-plating tools, to back-end wafer assembly and packaging factories, principally in the PRC.
 
Space Alternated Phase Shift Cleaning
 
SAPS Technology
 
SAPS technology delivers megasonic energy uniformly to every point on an entire wafer by alternating phases of megasonic waves in the gap between a megasonic transducer and the wafer. Radicals for removing random defects are generated in dilute solution, and the radical generation is promoted by megasonic energy. Unlike “stationary” megasonic transducers used by conventional megasonic cleaning methods, SAPS technology moves or tilts a transducer while a wafer rotates, enabling megasonic energy to be delivered uniformly across all points on the wafer, even if the wafer is warped. The mechanical force of cavitations generated by megasonic energy enhances the mass transfer rate of dislodged random defects and improves particle removal efficiency.
 
By delivering megasonic energy in a highly uniform manner on a microscopic level, SAPS technology can precisely control the intensity of megasonic energy and can effectively remove random defects of all sizes across the entire wafer in less total cleaning time than conventional megasonic cleaning products, without loss of material or roughing of wafer surfaces. We have conducted trials demonstrating SAPS technology to be more effective than conventional megasonic and jet spray cleaning technologies as defect sizes shrink from 300nm to 45nm. These trials show that SAPs technology has an even greater relative advantage over conventional jet spray technology when cleaning defects between 50 and 65nm in size and that SAPs technology continues to be effective for defects of sizes between 45 nm and 50nm, for which jet spray technology has proven to be ineffective.
 
SAPS Applications
 
SAPS megasonic cleaning technology can be applied during the chip fabrication process to clean wafer surfaces and interconnects. It also can be used to clean, and lengthen the lifetime of, recycled test wafers.
 
 
Wafer Surfaces. SAPS technology can enhance removal of random defects following planarization and deposition, which are among the most important, and most repeated, steps in the fabrication process:
 
 
Post CMP : Chemical mechanical planarization, or CMP, uses an abrasive chemical slurry following other fabrication processes, such as deposition and etching, in order to achieve a smooth wafer surface in preparation for subsequent processing steps. SAPS technology can be applied following each CMP process to remove residual random defects deposited or formed during CMP.
 
 
Post Hard Mask Deposition: As part of the photolithographical patterning process, a mask is applied with each deposition of a material layer to prevent etching of material intended to be retained. Hard masks have been developed to etch high aspect-ratio features of advanced chips that traditional masks cannot tolerate. SAPS technology can be applied following each deposition step involving hard masks that use nitride, oxide or carbon based materials to achieve higher etch selectivity and resolution.
 
For these purposes, SAPS technology uses environmentally friendly dilute chemicals, reducing chemical consumption. Chemical types include dilute solutions of chemicals used in RCA cleaning, such as dilute hydrofluoric acid and RCA SC-1 solutions, and, for higher quality wafer cleaning, functional de-ionized water produced by dissolving hydrogen , nitrogen or carbon dioxide in water containing a small amount of chemicals, such as ammonia. Functional water removes random defects by generating radicals, and megasonic excitation can be used in conjunction with functional water to further increase the generation of radicals. Functional water has a lower cost and environmental impact than RCA solutions, and using functional water is more efficient in eliminating random defects than using dilute chemicals or de-ionized water alone. We have shown that SAPS megasonic technology using functional water exhibits high efficiency in removing random defects, especially particles smaller than 65nm, with minimal damage to structures.
 
Interconnects and Barrier Metals. Each successive advanced process node has led to finer feature sizes of interconnects such as contacts, which form electrical pathways between a transistor and the first metal layer, and vias, which form electrical pathways between two metal layers. Advanced nodes have also resulted in higher aspect ratios for interconnect structures, with thinner, redesigned metal barriers being used to prevent diffusion. SAPS technology can improve the removal of residues and other random defects from interconnects during the chip fabrication process:
 
 
Post Contact/Via Etch: Wet etching processes are commonly used to create patterns of high-density contacts and vias. SAPS technology can be applied after each such etching process to remove random defects that could otherwise lead to electrical shorts.
 
 
Pre Barrier Metal Deposition : Copper wiring requires metal diffusion barriers at the top of via holes to prevent electrical leakage. SAPS technology can be applied prior to deposition of barrier metal to remove residual oxidized copper, which otherwise would adhere poorly to the barrier and impair performance.
 
For these applications, SAPS technology uses environmentally friendly dilute chemicals such as dilute hydrofluoric acid, RCA SC-1 solution, ozonated de-ionized water and functional de-ionized water with dissolved hydrogen. These chemical solutions take the place of piranha solution, a high-temperature mixture of sulfuric acid and hydrogen peroxide used by conventional wet wafer cleaning processes. We have shown that SAPS technology exhibits greater efficiency in removing random defects, and lower levels of material loss, than conventional processes, and our chemical solutions are less expensive and more environmentally conscious than piranha solution.
 
 
Recycled Test Wafers. In addition to using silicon wafers for chip production, chip manufacturers routinely process wafers through a limited portion of the front-end fabrication steps in order to evaluate the health, performance and reliability of those steps. Manufacturers also use wafers for non-product purposes such as inline monitoring. Wafers used for purposes other than manufacturing revenue products are known as test wafers, and it is typical for twenty to thirty percent of the wafers circulating in a fab to be test wafers. In light of the significant cost of wafers, manufacturers seek to re-use a test wafer for more than one test. As test wafers are recycled, surface roughness and other defects progressively impair the ability of a wafer to complete tests accurately. SAPS technology can be applied to reduce random defect levels of a recycled wafer, enabling the test wafer to be reclaimed for use in additional testing processes. For these purposes, SAPS technology includes improved fan filter units that balances intake and exhaust flows, precise temperature and concentration controls that ensure better handling of concentrated acid processes, and two-chemical recycle capability that reduces chemical consumption.
 
SAPS Equipment
 
We currently offer two models of wet wafer cleaning equipment based on our SAPS technology, Ultra C SAPS II and Ultra C SAPS V. Each of these models is a single-wafer, serial-processing tool that can be configured to customer specifications and, in conjunction with appropriate dilute
 
chemicals, used to remove random defects from wafer surfaces or interconnects and barrier metals as part of the chip front-end fabrication process or for purposes of recycling test wafers. By combining our megasonic and chemical cleaning technologies, we have designed these tools to remove random defects with greater efficacy and efficiency than conventional wafer cleaning processes, with enhanced process flexibility and reduced quantities of chemicals. Each of our SAPS models was initially built to meet specific requirements of a key customer. We expect the sales prices of our SAPS tools generally to range between $2.5 million and $5.0 million, although the sales price of a particular tool will vary depending upon the required specifications.
 
SAPS II was released in 2011. Its key features include:
 
compact design, with footprint of 2.65m x 4.10m x 2.85m (WxDxH), requiring limited clean room floor space;
up to 8 chambers, providing throughput of up to 225 wafers per hour;
double-sided cleaning capability, with up to 5 cleaning chemicals for process flexibility;
2-chemical recycling capability for reduced chemical consumption;
image wafer detection method for lowering wafer breakage rates; and
chemical delivery module for delivery of dilute hydrofluoric acid, RCA SC-1 solution, functional de-ionized water and carbon dioxide to each of the chambers.
 
 
SAPS V, which was released in 2014, offers increased productivity for chip manufacturers moving to advanced nodes. SAPS V provides all of the features and functionality of SAPS II, upgraded as follows:
 
compact design, with footprint of 2.55m x 5.1m x 2.85m (WxDxH);
up to 12 chambers, providing throughput of up to 375 wafers per hour;
chemical supply system integrated into mainframe;
inline mixing method replaces tank auto-changing, reducing process time; and
improved drying technology using hot isopropyl alcohol and de-ionized water.
 
Timely Energized Bubble Oscillation Cleaning
 
TEBO Technology
 
We developed TEBO technology for application in wet wafer cleaning during the fabrication of both conventional 2D and 3D patterned wafers with fine feature sizes. TEBO technology facilitates effective cleaning even with patterned features too small or fragile to be addressed by conventional jet spray and megasonic cleaning technologies.
 
TEBO technology solves the problems created by transient cavitation in conventional megasonic cleaning processes. Cavitation is the formation of bubbles in a liquid, and transient cavitation is a process in which a bubble in fluid implodes or collapses. In conventional megasonic cleaning processes, megasonic energy forms bubbles and then causes those bubbles to implode or collapse, blasting destructive high-pressure, high-temperature micro jets toward the wafer surface. Our internal testing has confirmed that at any level of megasonic energy capable of removing random defects, the sonic energy and mechanical force generated by transient cavitation are sufficiently strong to damage fragile patterned structures with features less than 70nm.
 
TEBO technology provides multi-parameter control of cavitation by using a sequence of rapid changes in pressure to force a bubble in liquid to oscillate at controlled sizes, shapes and temperatures, rather than implode or collapse. As a result, cavitation remains stable during TEBO megasonic cleaning processes, and a chip fabricator can, using TEBO technology, apply the level of megasonic energy needed to remove random defects without incurring the pattern damage created by transient cavitation in conventional megasonic cleaning.
 
We have demonstrated the damage-free cleaning capabilities of TEBO technology on customers’ patterned wafers as small as 1xnm (16nm to 19nm), and we believe TEBO technology will be applicable in even smaller fabrication process nodes. TEBO technology can be applied in manufacturing processes for conventional 2D chips with fine features and advanced chips with 3D structures, including FinFET, DRAM, 3D NAND and 3D cross point memory aswell as other 3D architectures that may be developed in the future, such as carbon nanotubes and quantum devices. As a result of the thorough, controlled nature of TEBO processes, cleaning time for TEBO-based solutions may take longer than conventional megasonic cleaning processes. Conventional processes have proven ineffective, however, for process nodes of 20nm or less, and we believe the increased yield that can be achieved by using TEBO technology for nodes up to 70nm can more than offset the cost of the additional time in utilizing TEBO technology.
 
TEBO Applications
 
At process nodes of 28nm and less, chip makers face escalating challenges in eliminating nanometric particles and maintaining the condition of inside pattern surfaces. In order to maintain chip quality and avoid yield loss, cleaning technologies must control random defects of diminishing killer defect sizes, without roughing or otherwise damaging surfaces of transistors, interconnects or other wafer features. TEBO technology can be applied in numerous steps throughout the manufacturing process flow for effective, damage-free cleaning:
 
 
Memory Chips: TEBO technology can be applied in up to a total of 47 steps in the fabrication of a dynamic random-access memory, or DRAM, chip, consisting of 8 steps in cleaning ISO structures, 19 steps in cleaning buried gates, and 20 steps in cleaning high aspect-ratio storage nodes and stacked films.
 
 
Logic Chips: In the fabrication process for a logic chip with a FinFET structure, TEBO technology can be used in 15 or more cleaning steps.
 
 
For purposes of solving inside pattern surface conditions for memory or logic chips, TEBO technology uses environmentally friendly dilute chemicals such as RCA SC-1 and hydrogen gas doped functional water.
 
TEBO Equipment
 
We currently offer two models of wet wafer cleaning equipment based on our TEBO technology, Ultra C TEBO II and Ultra C TEBO V. Each of these models is a single-wafer, serial-processing tool that can be configured to customer specifications and, in conjunction with appropriate dilute chemicals, used at numerous manufacturing processing steps for effective, damage-free cleaning of chips at process nodes 28nm or less. TEBO equipment solves the problem of pattern damage caused by transient cavitation in conventional jet spray and megasonic cleaning processes, providing better particle removal efficiency with limited material loss or roughing. TEBO equipment currently is being evaluated by a select group of leading memory and logic chip customers, some of which recently have indicated an intent to move to production. We expect the sales prices of our TEBO tools generally to range between $3.5 million and $6.5 million, although the sales price of a particular tool will vary depending upon the required specifications.
 
Each model of TEBO equipment includes:
 
an equipment front-end module, or EFEM, which moves wafers from chamber to chamber;
one or more chamber modules, each equipped with a TEBO megasonic generator system;
an electrical module to provide power for the tool; and
a chemical delivery module.
 
Ultra C TEBO II was released in 2016. Its key features include:
 
compact design, with footprint of 2.25m x 2.25m x 2.85m (WxDxH);
up to 8 chambers with an upgraded transport system and optimized robotic scheduler, providing throughput of up to 300 wafers per hour;
EFEM module consisting of 4 load ports, transfer robot and 1 process robot; and
focus on dilute chemicals contributes to environmental sustainability and lower cost of ownership.
 
 
Ultra C TEBO V also was introduced in 2016, and its key features include:
 
footprint of 2.45m x 5.30m x 2.85m (WxDxH); 
up to 12 chamber modules, providing throughput of up to 300 wafers per hour;
EFEM module consisting of 4 load ports, 1 transfer robot and 1 process robot; and
chemical delivery module for delivery of isopropyl alcohol, dilute hydrofluoric acid, RCA SC-1 solution, functional de-ionized water and carbon dioxide to each of the chambers.
 
Custom-Made Wafer Assembly and Packaging Equipment
 
We leverage our technology and expertise to provide a range of single-wafer tools for back-end wafer assembly and packaging factories, principally in the PRC. We focus on providing custom-made, differentiated equipment that incorporates customer-requested features, at a competitive price. The sales prices for these tools generally range between $500,000 and $1.0 million, and these offerings generated $5.7 million, or 20.9%, of our revenue in 2016 and $4.2 million, or 13.5%, of our revenue in 2015.
 
For example, our Ultra C Coater is used in applying photoresist, a light-sensitive material used in photolithography to transfer a pattern from a mask onto a wafer. Coaters typically provide input and output elevators, shuttle systems and other devices to handle and transport wafers during the coating process. Unlike most coaters, the Ultra C Coater is fully automated. In addition, based on requests from customers, we developed and incorporated the special function of chamber auto-clean module into the Ultra C Coater, which further differentiates it from other products in the market. The Ultra C Coater is designed to deliver improved throughput and more efficient tool utilization while eliminating particle generation.
 
Our other advanced packaging tools include: Ultra C Developer, which applies liquid developer to selected parts of photoresist to resolve an image; Ultra C PR Megasonic-Assisted Stripper, which removes photoresist; Ultra C Scrubber, which scrubs and cleans wafers; and Ultra C Thin Wafer Scrubber, which addresses a sub-market of cleaning very thin wafers for certain Asian assembly factories; and Ultra C Wet Etcher, which etches silicon wafers and copper and titanium interconnects.
 
 
Our Customers
 
As of December 31, 2017, customers had purchased and deployed more than 30 Ultra C SAPS and TEBO cleaning tools. All of our sales in 2016 and 2017 were to customers located in Asia, and we anticipate that a substantial majority of our revenue will continue to come from customers located in this region for the near future. We have increased our efforts to penetrate the markets in North America and Western Europe, and we believe we are well positioned to begin generating sales in those regions.
 
We generate most of our revenue from a limited number of customers as the result of our strategy of initially placing SAPS - and TEBO-based equipment with a small number of leading chip manufacturers that are driving technology trends and key capability implementation. In 2017, 55.2% of our revenue was derived from four customers: SK Hynix Inc., a leading Korean memory chip company that accounted for 18.1% of our revenue; Shanghai Integrated Circuit Research and Development Center Ltd., a public research consortia for the Chinese semiconductor industry that accounted for 14.1% of our revenue; JiangYin ChangDian Advanced Packaging Co. Ltd., a leading PRC foundry that accounted for 12.8% of our revenue; and Yangtze Memory Technologies Co., Ltd., a leading PRC memory chip company that, together with one of its subsidiaries, accounted 10.2% of our revenue. In 2016 99.3% of our revenue was derived from four customers: Shanghai Huali Microelectronics Corporation, a leading PRC foundry that accounted for 33.7% of our revenue; Semiconductor Manufacturing International Corporation, a leading PRC foundry that accounted for 25.0% of our revenue; SK Hynix Inc. accounted for 24.0% of our revenue; and JiangYin ChangDian Advanced Packaging Co. Ltd., a leading PRC foundry that accounted for 16.6% of our revenue.
 
Based on our market experience, we believe that implementation of our equipment by one of our selected leading companies will attract and encourage other manufacturers to evaluate our equipment, because the leading company’s implementation will serve as validation of our equipment and will enable the other manufacturers to shorten their evaluation processes. We placed our first SAPS-based tool in 2009 as a prototype. We worked closely with the customer for two years in debugging and modifying the tool, and the customer then spent two more years of qualification and running pilot production before beginning volume manufacturing. Our revenue in 2015 included sales of SAPS-based tools following the customer’s completion of its qualification process. We expect that the period from new product introduction to high volume manufacturing will be three years or less in the future. Please see “Item 1A. Risk Factors—Risks Related to Our Business and Our Industry—We depend on a small number of customers for a substantial portion of our revenue, and the loss of, or a significant reduction in orders from, one or more of our major customers could have a material adverse effect on our revenue and operating results. There are also a limited number of potential customers for our products.”
 
Customers continue to establish joint ventures, alliances and licensing arrangements that have the potential to positively or negatively impact our competitive position and market opportunities. A material reduction in orders from our large customers could adversely affect our results of operations and projected financial condition. Our business depends upon the expenditures of semiconductor manufacturers. Semiconductor manufacturers’ businesses, in turn, depend on many factors, including their financial capability, the current and anticipated market demand for integrated circuits, the global economy and the availability of equipment capacity to support that demand.
 
Sales and Marketing
 
We market and sell our products worldwide using a combination of our direct sales force and third-party representatives. We employ direct sales teams in Asia, Europe and North America, and have located these teams near our customers, primarily in the PRC, Korea, Taiwan and the United States. Each sales person has specific local market expertise. We also employ field application engineers, who are typically co-located with our direct sales teams, to provide technical pre- and post-sale support tours and other assistance to existing and potential customers throughout the customers’ fab planning and production line qualification and fab expansion phases. Our field application engineers are organized by end markets as well as core competencies in hardware, control system, software and process development to support our customers.
 
 
To supplement our direct sales teams, we have contacts with several independent sales representatives in the PRC, Taiwan and Korea. We select these independent representatives based on their ability to provide effective field sales, marketing forecast and technical support for our products. In the case of representatives, our customers place purchase orders with us directly rather than with the representatives.
 
Our sales have historically been made using purchase orders with agreed technical specifications. Our sales terms and conditions are generally consistent with industry practice, but may vary from customer to customer. We seek to obtain a purchase order three to four months ahead of the customer’s desired delivery date. For some customers, we receive a letter of intent three weeks ahead, followed by the corresponding purchase order five weeks ahead, of the customer’s desired delivery date. Consistent with industry practice, we allow customers to reschedule or cancel orders on relatively short notice. Because of our relatively short delivery period and our practice of permitting rescheduling or cancellation, we believe that backlog is not a reliable indicator of our future revenue.
 
Our marketing team focuses on our product strategy and technology road maps, product marketing, new product introduction processes, demand assessment and competitive analysis, customer requirement communication and public relations. Our marketing team also has the responsibility to conduct environmental scans, study industry trends and arrange our participation at major trade shows.
 
Manufacturing
 
All of our products are built to order at our facility in Shanghai. Our manufacturing facility has a total of 36,000 square feet, with 8,000 square feet of class 10,000 clean room space for product assembly and testing, plus 800 square feet of class 1 clean room space for product demonstration purposes. The rest of the area is used for product sub-assembly, component inventory and manufacturing related offices. A class designation for a clean room denotes the number of particles of size 0.5mm or larger permitted per cubic foot of air. Our manufacturing facility is ISO-9000 certified, and we have implemented certain manufacturing science-based factory practices such as constraint management, statistical process control and failure mode and effect analysis methodology.
 
In each of 2016 and 2017, we sourced approximately one-third of the parts and components ($8.0 million in 2016 and $10.8M in 2017) for our products from Chinese suppliers and the remaining parts and components were sourced from suppliers in the United States and, to a lesser extent, Japan and Korea
 
We purchase some of the components and assemblies that we include in our products from single source suppliers. We believe that we could obtain and qualify alternative sources to supply these components. Nevertheless, any prolonged inability to obtain these components could have an adverse effect on our operating results and could unfavorably impact our customer relationships. Please see “Item 1A. Risk Factors—Risks Related to Our Business and Our Industry—We depend on a limited number of suppliers, including single source suppliers, for critical components and assemblies, and our business could be disrupted if they are unable to meet our needs.”
 
Research and Development
 
We believe that our success depends in part on our ability to develop and deliver breakthrough technologies and capabilities to meet our customers’ ever-more challenging technical requirements. For this reason, we devote significant financial and personnel resources to research and development. Our research and development team is comprised of highly skilled engineers and technologists with extensive experience in megasonic technology, cleaning processes and chemistry, mechanical design, and control system design. As of December 31, 2017, approximately half of our research and development personnel hold advanced technical degrees. To supplement our internal expertise, we also collaborate with external research and development entities such as International SEMATECH, a global consortium of computer chip manufacturers, on specific areas of interests and retain, as technical advisors, several experts in semiconductor technology.
 
 
For the foreseeable future we are focusing on enhancing our existing Ultra C SAPS and TEBO tools and integrating additional capabilities to meet and anticipate requirements from our existing and potential customers. Our particular areas of focus include development of the following:
 
● 
new cleaning steps for Ultra C SAPS cleaners for application in logic chips and for DRAM, 3D NAND and 3D cross point memory technologies;
 
● 
new cleaning steps for Ultra C TEBO cleaners for FinFET in logic chips, gates in DRAM, and deep vias in both 3D NAND and 3D cross point memory technologies;
 
● 
new hardware, including new system platforms, new chamber structures and new chemical blending systems; and
 
● 
new software to integrate new functionalities to improve tool performance.
 
Longer term, we are working on new proprietary process capabilities based on our existing tool hardware platforms. We are also working to integrate our tools with third-party tools in adjacent process areas in the chip manufacturing flow. Without reduction by grant amounts received from PRC governmental authorities (see “Management’s Discussion and Analysis of Financial Condition and Results of Operations—Key Components of Results of Operations—PRC Government Research and Development Funding”), our gross research and development expense totaled $8.6 million, or 23.4% of revenue, in 2017 and $9.5 million, or 34.7% of revenue, in 2016. We intend to continue to invest in research and development to support and enhance our existing cleaning products and to develop future product offerings to build and maintain our technology leadership position.
 
Intellectual Property
 
Our success and future revenue growth depend, in part, on our ability to protect our intellectual property. We control access to and use of our proprietary technologies, software and other confidential information through the use of internal and external controls, including contractual protections with employees, consultants, advisors, customers, partners and suppliers. We rely primarily on patent, copyright, trademark and trade secret laws, as well as confidentiality procedures, to protect our proprietary technologies and processes. All employees and consultants are required to execute confidentiality agreements in connection with their employment and consulting relationships with us. We also require them to agree to disclose and assign to us all inventions conceived or made in connection with the employment or consulting relationship.
 
We have aggressively pursued intellectual property since our founding in 1998. We focus our patent efforts in the United States, and, when justified by cost and strategic importance, we file corresponding foreign patent applications in strategic jurisdictions such as the European Union, the PRC, Japan, Korea, Singapore, and Taiwan. Our patent strategy is designed to provide a balance between the need for coverage in our strategic markets and the need to maintain costs at a reasonable level.
 
As of December 31, 2017, we had 20 issued patents and numerous pending applications in the United States. These patents carry expiration dates from 2018 through 2027. Many of the US patents and applications have also been filed internationally, in one or more of the European Union, PRC, Japan, Korea, Singapore and Taiwan.
 
Specifically, we own patents in wafer cleaning, electro-polishing and plating, wafer preparation, and other semiconductor processing technologies.
 
We currently manufacture advanced single-wafer cleaning systems equipped with our SAPS and TEBO technologies. Our wafer cleaning technologies are protected by US Patent Numbers 8580042, 8671961, 9070723 and 9281177, as well as their corresponding international patents. We have 22 patents granted internationally protecting our SAPS technologies. We also have filed four international patent applications for key TEBO technologies in accordance with the Patent Cooperation Treaty, in anticipation of filing in the U.S. national phase.
 
In addition to the above core technologies, we have patents reflecting innovations in other aspects of wafer cleaning systems, such as cleaning solution recycling and wafer holding and positioning. During a wafer cleaning cycle, multiple cleaning solutions may be sequentially used. Our cleaning solution recycling technology prevents cross-contamination and allows recycling of the cleaning solutions. These innovations are protected by US Patent Numbers 6248222, 6495007, 6749728, 6726823, 6447668 and 7136173, as well as their corresponding international patents.
 
 
We have technologies for stress-free polishing, or SFP, and electrochemical plating, or ECP, that are used in certain of our tools. SFP is an integral part of the CMP process. Our technology was a breakthrough in electro-chemical-copper-planarization technology when it was first introduced, because it can polish, stress-free, oxidizing tantalum barrier layers used in copper low-K interconnects. Our innovations in SFP and ECP are reflected in US Patent Numbers 6395152, 6837984, 6440295, 6638863, 6391166 and 8518224, and their corresponding international counterparts.
 
We also have technologies in other semiconductor processing areas, such as wafer preparation and some specific processing steps. The wafer preparation technology is covered by US Patent Numbers 8383429 and 9295167. The specific processing steps include US Patent Number 7119008 titled “Integrating metal layers with ultra-low-K dielectrics,” and US Patent Number 8598039 titled “Barrier layer removal method and apparatus.”
 
To date we have not granted licenses to third parties under the patents described above. Not all of these patents have been implemented in products. We may enter into licensing or cross-licensing arrangements with other companies in the future.
 
We cannot assure you that any patents will issue from any of our pending applications. Any rights granted under any of our existing or future patents may not provide meaningful protection or any commercial advantage to us. With respect to our other proprietary rights, it may be possible for third parties to copy or otherwise obtain and use our proprietary technology or marks without authorization or to develop similar technology independently.
 
The semiconductor equipment industry is characterized by vigorous protection and pursuit of intellectual property rights or positions, which have resulted in often protracted and expensive litigation. We may in the future initiate claims or litigation against third parties to determine the validity and scope of proprietary rights of others. In addition, we may in the future initiate litigation to enforce our intellectual property rights or the rights of our customers or to protect our trade secrets.
 
Our customers could become the target of litigation relating to the patent or other intellectual property rights of others. This could trigger technical support and indemnification obligations in some of our customer agreements. These obligations could result in substantial expenses, including the payment by us of costs and damages related to claims of patent infringement. In addition to the time and expense required for us to provide support or indemnification to our customers, any such litigation could disrupt the businesses of our customers, which in turn could hurt our relations with our customers and cause the sale of our products to decrease. We do not have any insurance coverage for intellectual property infringement claims for which we may be obligated to provide indemnification.
 
Additional information about the risks relating to our intellectual property is provided under “Item 1A. Risk Factors—Risks Relating to Our Intellectual Property.”
 
Competition
 
The chip equipment industry is characterized by rapid change and is highly competitive throughout the world. We compete with semiconductor equipment companies located around the world, and we may also face competition from new and emerging companies, including new competitors from the PRC. We consider our principal competitors to be those companies that provide single-wafer cleaning products to the market, including Lam Research Corp., DNS Electronics LLC, Tokyo Electron Ltd., SEMES Co. Ltd., Mujin Electronics Co., Ltd. and Beijing Sevenstar Science & Technology Co., Ltd.
 
 
Compared to our company, our current and potential competitors may have:
 
● 
better established credibility and market reputations, longer operating histories, and broader product offerings;
 
● 
significantly greater financial, technical, marketing and other resources, which may allow them to pursue design, development, manufacturing, sales, marketing, distribution and service support of their products;
 
● 
more extensive customer and partner relationships, which may position them to identify and respond more successfully to market developments and changes in customer demands; and
 
● 
multiple product offerings, which may enable them to offer bundled discounts for customers purchasing multiple products or other incentives that we cannot match or offer.
 
The principal competitive factors in our market include:
 
● 
performance of products, including particle removal efficiency, rate of damage to wafer structures, high temperature chemistry, throughput, tool uptime and reliability, safety, chemical waste treatment, and environmental impact;
 
● 
service support capability and spare parts delivery time;
 
● 
innovation and development of functionality and features that are must-haves for advanced fabrication nodes;
 
● 
ability to anticipate customer requirements, especially for advanced process nodes of less than 45nm;
 
● 
ability to identify new process applications;
 
● 
brand recognition and reputation; and
 
● 
skill and capability of personnel, including design engineers, manufacturing engineers and technicians, application engineers, and service engineers.
 
In addition, semiconductor manufacturers must make a substantial investment to qualify and integrate new equipment into semiconductor production lines. Some manufacturers have announced they will fabricate chips for the 10nm node beginning in 2017 and the 7nm node commencing in 2018, and we have one customer that currently is evaluating implementation of our equipment for both the 10nm and 7nm nodes. Once a semiconductor manufacturer has selected a particular supplier’s equipment and qualified it for production, the manufacturer generally maintains that selection for that specific production application and technology node as long as the supplier’s products demonstrate performance to specification in the installed base. Accordingly, we may experience difficulty in selling to a given manufacturer if that manufacturer has qualified a competitor’s equipment. If, however, that cleaning equipment constrains chip yield, we expect, based on our experience to date, that the manufacturer will evaluate implementing new equipment that cleans more effectively.
 
We focus on the high-end fabrication market with advanced nodes, and we believe we compete favorably with respect to the factors described above. Most of our competitors offer single-wafer cleaning products using jet spray technology, which has relatively poor particle removal efficiency for random defects less than 30nm in size and presents increased risk of damage to the fragile patterned architectures of wafers at advanced process nodes. Certain of our competitors offer single-wafer cleaning products with megasonic cleaning capability, but we believe these products, which use conventional megasonic technology, are unable to maintain energy dose uniformity on the entire wafer and often lack the ability to repeat the requisite uniform energy dose wafer to wafer in production, resulting in poor efficiency in removing random defects, longer processing time and greater loss of material. In addition, these conventional megasonic products generate transient cavitation, which results in more incidents of damage to wafer structures with feature sizes of 70nm or less. We design our cleaning tools equipped with our proprietary SAPS and TEBO technologies, which we believe offer better performance, including at advanced process nodes of 22nm or less. Moreover, with our operations based in Shanghai, we are well positioned to take advantage of the Chinese government’s policies to develop an independent domestic semiconductor supply chain.
 
 
Employees
 
As of December 31 2017, we had 191 full-time equivalent employees, of whom 22 were in administration, 50 were in manufacturing, 80 were in research and development, and 39 were in sales and marketing and customer services. Of these employees, 183 were located in the PRC, 4 were located in Korea and 4 were based in the United States.
 
We have never had a work stoppage, and none of our employees are represented by a labor organization or subject to any collective bargaining arrangements. We consider our employee relations to be good.
 
I tem 1A. Risk Factors
 
Investing in Class A common stock involves a high degree of risk. You should consider and read carefully all of the risks and uncertainties described below, as well as other information contained in this report, including the consolidated financial statements and related notes set forth in “Item 1. Financial Statements” of Part I above, before making an investment decision. The occurrence of any of the following risks or additional risks and uncertainties not presently known to us or that we currently believe to be immaterial could materially and adversely affect our business, financial condition, results of operations or cash flows. In any such case, the trading price of Class A common stock could decline, and you may lose all or part of your investment. This report also contains forward-looking statements and estimates that involve risks and uncertainties. Our actual results could differ materially from those anticipated in the forward-looking statements as a result of specific factors, including the risks and uncertainties described below.
 
Risks Related to Our Business and Our Industry
 
We have incurred significant losses since our inception and we are uncertain about our future profitability.
 
We have incurred significant losses since our inception in 1998, and as of December 31, 2017 we had an accumulated deficit of $10.0 million. We may not be able to generate sufficient revenue to achieve and sustain profitability. We expect our costs to increase in future periods, which could negatively affect our future operating results if our revenue does not increase. In particular, we expect to continue to expend substantial financial and other resources on:
 
● 
research and development, including continued investments in our research and development team;
 
● 
sales and marketing, including a significant expansion of our sales organization, both domestically and internationally, building our brand, and providing our single-wafer wet cleaning equipment and other capital equipment, or tools, for evaluation by customers;
 
● 
the cost of goods being manufactured and sold for our installed base;
 
● 
expansion of field service; and
 
● 
general and administrative expenses, including legal and accounting expenses related to being a public company.
 
These investments may not result in increased revenue or growth in our business. If we are unable to increase our revenue at a rate sufficient to offset the expected increase in our costs, then our business, financial position and results of operations will be harmed and we may not be able to achieve or maintain profitability over the long term. Additionally, we may encounter unforeseen operating expenses, difficulties, complications, delays and other factors that may result in losses in future periods. If our revenue growth does not meet our expectations in future periods, our financial performance may be harmed and we may not achieve or maintain profitability in the future.
 
We currently have limited revenue and may not be able to regain or maintain profitability.
 
To date we have only generated limited revenue from sales of our products. Our revenue totaled $27.4 million in 2016 and $36.5 million in 2017. Our revenue was not sufficient to cover our operating expenses prior to 2015, and our net income decreased to $2.4 million in 2016 from $7.9 million in 2015. In 2017 we incurred an operating loss of $0.9 million, as compared to net income of $2.4 million in 2016. Our ability to generate significant revenue and operate profitably depends upon our ability to commercialize our Ultra C single-wafer wet cleaning equipment based on our SAPS and TEBO technologies. Our ability to generate significant product revenue from our current tools or future tool candidates also depends on a number of additional factors, including our ability to:
 
● 
achieve market acceptance of Ultra C equipment based on SAPS technology as well as Ultra C equipment based on TEBO technology;
 
● 
increase our customer base, including the establishment of relationships with companies in the United States;
 
● 
continue to expand our supplier relationships with third parties; and
 
● 
establish and maintain our reputation for providing efficient on-time delivery of high quality products.
 
 
If we fail to regain and sustain profitability on a continuing basis, we may be unable to continue our operations at planned levels and be forced to reduce our operations or even shut down.
 
We may require additional capital in the future and we cannot give any assurance that such capital will be available at all or available on terms acceptable to us and, if it is available, additional capital raised by us may dilute holders of Class A common stock.
 
We may need to raise funds in the future, depending on many factors, including:
 
● 
our sales growth;
 
● 
the costs of applying our existing technologies to new or enhanced products;
 
● 
the costs of developing new technologies and introducing new products;
 
● 
the costs associated with protecting our intellectual property;
 
● 
the costs associated with our expansion, including capital expenditures, increasing our sales and marketing and service and support efforts, and expanding our geographic operations;
 
● 
our ability to continue to obtain governmental subsidies for developmental projects in the future;
 
● 
future debt repayment obligations; and
 
● 
the number and timing of any future acquisitions.
 
To the extent that our existing sources of cash, together with any cash generated from operations, are insufficient to fund our activities, we may need to raise additional funds through public or private financings, strategic relationships, or other arrangements. Additional funding may not be available to us on acceptable terms or at all. If adequate funding is not available, we may be required to reduce expenditures, including curtailing our growth strategies and reducing our product development efforts, or to forego acquisition opportunities.
 
If we succeed in raising additional funds through the issuance of equity or convertible securities, then the issuance could result in substantial dilution to existing stockholders. Furthermore, the holders of these new securities or debt may have rights, preferences and privileges senior to those of the holders of Class A common stock. In addition, any preferred equity issuance or debt financing that we may obtain in the future could have restrictive covenants relating to our capital raising activities and other financial and operational matters, which may make it more difficult for us to obtain additional capital and to pursue business opportunities, including potential acquisitions.
 
Our quarterly operating results can be difficult to predict and can fluctuate substantially, which could result in volatility in the price of Class A common stock.
 
Our quarterly revenue and other operating results have varied in the past and are likely to continue to vary significantly from quarter to quarter. Accordingly, you should not rely upon our past quarterly financial results as indicators of future performance. Any variations in our quarter-to-quarter performance may cause our stock price to fluctuate. Our financial results in any given quarter can be influenced by a variety of factors, including:
 
● 
the cyclicality of the semiconductor industry and the related impact on the purchase of equipment used in the manufacture of integrated circuits, or chips;
 
● 
the timing of purchases of our tools by chip fabricators, which order types of tools based on multi-year capital plans under which the number and dollar amount of tool purchases can vary significantly from year to year;
 
● 
the relatively high average selling price of our tools and our dependence on a limited number of customers for a substantial portion of our revenue in any period, whereby the timing and volume of purchase orders or cancellations from our customers could significantly reduce our revenue for that period;
 
● 
the significant expenditures required to customize our products often exceed the deposits received from our customers;
 
● 
the lead time required to manufacture our tools;
 
 
● 
the timing of recognizing revenue due to the timing of shipment and acceptance of our tools;
 
● 
our ability to sell additional tools to existing customers;
 
● 
the changes in customer specifications or requirements;
 
● 
the length of our product sales cycle;
 
● 
changes in our product mix, including the mix of systems, upgrades, spare parts and service;
 
● 
the timing of our product releases or upgrades or announcements of product releases or upgrades by us or our competitors, including changes in customer orders in anticipation of new products or product enhancements;
 
● 
our ability to enhance our tools with new and better functionality that meet customer requirements and changing industry trends;
 
● 
constraints on our suppliers’ capacity;
 
● 
the timing of investments in research and development related to releasing new applications of our technologies and new products;
 
● 
delays in the development and manufacture of our new products and upgraded versions of our products and the market acceptance of these products when introduced;
 
● 
our ability to control costs, including operating expenses and the costs of the components and subassemblies used in our products;
 
● 
the costs related to the acquisition and integration of product lines, technologies or businesses; and
 
● 
the costs associated with protecting our intellectual property, including defending our intellectual property against third-party claims or litigation.
 
Seasonality has played an increasingly important role in the market for chip manufacturing tools. The period of November through February has been a particularly weak period historically for manufacturers of chip tools, in part because capital equipment needed to support manufacturing of chips for the December holidays usually needs to be in the supply chain by no later than October and chip makers in Asia often wait until after Chinese New Year, which occurs in January or February, before implementing their capital acquisition plans. The timing of new product releases also has an impact on seasonality, with the acquisition of manufacturing equipment occurring six to nine months before a new release.
 
Many of these factors are beyond our control, and the occurrence of one or more of them could cause our operating results to vary widely. As a result, it is difficult for us to forecast our quarterly revenue accurately. Our results of operations for any quarter may not be indicative of results for future quarters and quarter-to-quarter comparisons of our operating results are not necessarily meaningful. Variability in our periodic operating results could lead to volatility in our stock price. Because a substantial proportion of our expenses are relatively fixed in the short term, our results of operations will suffer if revenue falls below our expectations in a particular quarter, which could cause the price of Class A common stock to decline. Moreover, as a result of any of the foregoing factors, our operating results might not meet our announced guidance or expectations of public market analysts or investors, in which case the price of Class A common stock could decrease significantly.
 
Cyclicality in the semiconductor industry is likely to lead to substantial variations in demand for our products, and as a result our operating results could be adversely affected.
 
The chip industry has historically been cyclic and is characterized by wide fluctuations in product supply and demand. From time to time, this industry has experienced significant downturns, often in connection with, or in anticipation of, maturing product and technology cycles, excess inventories and declines in general economic conditions. This cyclicality could cause our operating results to decline dramatically from one period to the next.
 
Our business depends upon the capital spending of chip manufacturers, which, in turn, depends upon the current and anticipated market demand for chips. During industry downturns, chip manufacturers often have excess manufacturing capacity and may experience reductions in profitability due to lower sales and increased pricing pressure for their products. As a result, chip manufacturers generally sharply curtail their spending during industry downturns and historically have lowered their spending more than the decline in their revenues. If we are unable to control our expenses adequately in response to lower revenue from our customers, our operating results will suffer and we could experience operating losses.
 
 
Conversely, during industry upturns we must successfully increase production output to meet expected customer demand. This may require us or our suppliers, including third-party contractors, to order additional inventory, hire additional employees and expand manufacturing capacity. If we are unable to respond to a rapid increase in demand for our tools on a timely basis, or if we misjudge the timing, duration or magnitude of such an increase in demand, we may lose business to our competitors or incur increased costs disproportionate to any gains in revenue, which could have a material adverse effect on our business, results of operations, financial condition or cash flows.
 
The PRC government is implementing focused policies, including state-led investment initiatives, that aim to create and support an independent domestic semiconductor supply chain spanning from design to final system production. If these policies, which include loans and subsidies, result in lower demand for equipment than is expected by equipment manufacturers, the resulting overcapacity in the chip manufacturing equipment market could lead to excess inventory and price discounting that could have a material adverse effect on our business and operating results.
 
Our success will depend on industry chip manufacturers adopting our SAPS and TEBO technologies.
 
To date our strategy for commercializing our tools has been to place them with selected industry leaders in the manufacturing of memory and logic chips, the two largest chip categories, to enable those leading manufacturers to evaluate our technologies, and then leverage our reputation to gain broader market acceptance. In order for these industry leaders to adopt our tools, we need to establish our credibility by demonstrating the differentiated, innovative nature of our SAPS and TEBO technologies. Our SAPS technology has been tested and purchased by industry leaders, but has not achieved, and may never achieve, widespread market acceptance. We have initiated a similar commercialization process for our TEBO technology with a selected group of industry leaders. If these leading manufacturers do not agree that our technologies add significant value over conventional technologies or do not otherwise accept and use our tools, we may need to spend a significant amount of time and resources to enhance our technologies or develop new technologies. Even if these leading manufacturers adopt our technologies, other manufacturers may not choose to accept and adopt our tools and our products may not achieve widespread adoption. Any of the above factors would have a material adverse effect on our business, results of operations and financial condition.
 
If our SAPS and TEBO technologies do not achieve widespread market acceptance, we will not be able to compete effectively.
 
The commercial success of our tools will depend, in part, on gaining substantial market acceptance by chip manufacturers. Our ability to gain acceptance for our products will depend upon a number of factors, including:
 
● 
our ability to demonstrate the differentiated, innovative nature of our SAPS and TEBO technologies and the advantages of our tools over those of our competitors;
 
● 
compatibility of our tools with existing or potential customers’ manufacturing processes and products;
 
● 
the level of customer service available to support our products; and
 
● 
the experiences our customers have with our products.
 
In addition, obtaining orders from new customers may be difficult because many chip manufacturers have pre-existing relationships with our competitors. Chip manufacturers must make a substantial investment to qualify and integrate wet processing equipment into a chip production line. Due, in part, to the cost of manufacturing equipment and the investment necessary to integrate a particular manufacturing process, a chip manufacturer that has selected a particular supplier’s equipment and qualified that equipment for production typically continues to use that equipment for the specific production application and process node, which is the minimum line width on a chip, as long as that equipment continues to meet performance specifications. Some of our potential and existing customers may prefer larger, more established vendors from which they can purchase equipment for a wider variety of process steps than our tools address. Further, because the cleaning process with our TEBO equipment can be up to five times longer than cleaning processes based on other technologies, we must convince chip manufacturers of the innovative, differentiated nature of our technologies and the benefits associated with using our tools. If we are unable to obtain new customers and continue to achieve widespread market acceptance of our tools, then our business, operations, financial results and growth prospects will be materially and adversely affected.
 
 
If we do not continue to enhance our existing single-wafer wet cleaning tools and achieve market acceptance, we will not be able to compete effectively.
 
We operate in an industry that is subject to evolving standards, rapid technological changes and changes in customer demands. Additionally, if process nodes continue to shrink to ever-smaller dimensions and conventional two-dimensional chips reach their critical performance limitations, the technology associated with manufacturing chips may advance to a point where our Ultra C equipment based on SAPS and TEBO technologies becomes obsolete. Accordingly, the future of our business will depend in large part upon the continuing relevance of our technological capabilities, our ability to interpret customer and market requirements in advance of tool deliveries, and our ability to introduce in a timely manner new tools that address chip makers’ requirements for cost-effective cleaning solutions. We expect to spend a significant amount of time and resources developing new tools and enhancing existing tools. Our ability to introduce and market successfully any new or enhanced cleaning equipment is subject to a wide variety of challenges during the tool’s development, including the following:
 
● 
accurate anticipation of market requirements, changes in technology and evolving standards;
 
● 
the availability of qualified product designers and technologies needed to solve difficult design challenges in a cost-effective, reliable manner;
 
● 
our ability to design products that meet chip manufacturers’ cost, size, acceptance and specification criteria, and performance requirements;
 
● 
the ability and availability of suppliers and third-party manufacturers to manufacture and deliver the critical components and subassemblies of our tools in a timely manner;
 
● 
market acceptance of our customers’ products, and the lifecycle of those products; and
 
● 
our ability to deliver products in a timely manner within our customers’ product planning and deployment cycle.
 
Certain enhancements to our Ultra C equipment in future periods may reduce demand for our pre-existing tools. As we introduce new or enhanced cleaning tools, we must manage the transition from older tools in order to minimize disruptions in customers’ ordering patterns, avoid excessive levels of older tool inventories and ensure timely delivery of sufficient supplies of new tools to meet customer demand. Furthermore, product introductions could delay purchases by customers awaiting arrival of our new products, which could cause us to fail to meet our expected level of production orders for pre-existing tools.
 
Our success will depend on our ability to identify and enter new product markets.
 
We expect to spend a significant amount of time and resources identifying new product markets in addition to the market for cleaning solutions and in developing new products for entry into these markets. Our TEBO technology took eight years to develop, and development of any new technology could require a similar, or even longer, period of time. Product development requires significant investments in engineering hours, third-party development costs, prototypes and sample materials, as well as sales and marketing expenses, which will not be recouped if the product launch is unsuccessful. We may fail to predict the needs of other markets accurately or develop new, innovative technologies to address those needs. Further, we may not be able to design and introduce new products in a timely or cost-efficient manner, and our new products may be more costly to develop, may fail to meet the requirements of the market, or may be adopted slower than we expect. If we are not able to introduce new products successfully, our inability to gain market share in new product markets could adversely affect our ability to sustain our revenue growth or maintain our current revenue levels.
 
If we fail to establish and maintain a reputation for credibility and product quality, our ability to expand our customer base will be impaired and our operating results may suffer.
 
We must develop and maintain a market reputation for innovative, differentiated technologies and high quality, reliable products in order to attract new customers and achieve widespread market acceptance of our products. Our market reputation is critical because we compete against several larger, more established competitors, many of which supply equipment for a larger number of process steps than we do to a broader customer base in an industry with a limited number of customers. In these circumstances, traditional marketing and branding efforts are of limited value, and our success depends on our ability to provide customers with reliable and technically sophisticated products. If the limited customer base does not perceive our products and services to be of high quality and effectiveness, our reputation could be harmed, which could adversely impact our ability to achieve our targeted growth.
 
 
We operate in a highly competitive industry and many of our competitors are larger, better-established, and have significantly greater operating and financial resources than we have.
 
The chip equipment industry is highly competitive, and we face substantial competition throughout the world in each of the markets we serve. Many of our current and potential competitors have, among other things:
 
● 
greater financial, technical, sales and marketing, manufacturing, distribution and other resources;
 
● 
established credibility and market reputations;
 
● 
longer operating histories;
 
● 
broader product offerings;
 
● 
more extensive service offerings, including the ability to have large inventories of spare parts available near, or even at, customer locations;
 
● 
local sales forces; and
 
● 
more extensive geographic coverage.
 
These competitors may also have the ability to offer their products at lower prices by subsidizing their losses in wet cleaning with profits from other lines of business in order to retain current or obtain new customers. Among other things, some competitors have the ability to offer bundled discounts for customers purchasing multiple products. Many of our competitors have more extensive customer and partner relationships than we do and may therefore be in a better position to identify and respond to market developments and changes in customer demands. Potential customers may prefer to purchase from their existing suppliers rather than a new supplier, regardless of product performance or features. If we are not able to compete successfully against existing or new competitors, our business, operating results and financial condition will be negatively affected.
 
We depend on a small number of customers for a substantial portion of our revenue, and the loss of, or a significant reduction in orders from, one of our major customers could have a material adverse effect on our revenue and operating results. There are also a limited number of potential customers for our products.
 
The chip manufacturing industry is highly concentrated, and we derive a significant portion of our revenue from the sale of our products to a small number of customers. In 2017, 55.2% of our revenue was derived from four customers: SK Hynix Inc., 18.1%; Shanghai Integrated Circuit Research and Development Center Ltd., 14.1%; JiangYin ChangDian Advanced Packaging Co. Ltd., 12.8% and Yangtze Memory Technologies Co., Ltd, 10.2%. In 2016, 99.3% of our revenue was derived from four customers: Shanghai Huali Microelectronics Corporation, 33.7%; Semiconductor Manufacturing International Corporation, 25.0%; SK Hynix Inc., 24.0%; and JiangYin ChangDian Advanced Packaging Co. Ltd., 16.6%. As a consequence of the concentrated nature of our customer base, our revenue and results of operations may fluctuate from quarter to quarter and are difficult to estimate, and any cancellation of orders or any acceleration or delay in anticipated product purchases or the acceptance of shipped products by our larger customers could materially affect our revenue and results of operations in any quarterly period.
 
We may be unable to sustain or increase our revenue from our larger customers or offset the discontinuation of concentrated purchases by our larger customers with purchases by new or existing customers. We expect a small number of customers will continue to account for a high percentage of our revenue for the foreseeable future and that our results of operations may fluctuate materially as a result of such larger customers’ buying patterns. Thus, our business success depends on our ability to maintain strong relationships with our customers. The loss of any of our key customers for any reason, or a change in our relationship with any of our key customers, including a significant delay or reduction in their purchases, may cause a significant decrease in our revenue, which we may not be able to recapture due to the limited number of potential customers.
 
We have seen, and may see in the future, consolidation of our customer base. Industry consolidation generally has negative implications for equipment suppliers, including a reduction in the number of potential customers, a decrease in aggregate capital spending and greater pricing leverage on the part of consumers over equipment suppliers. Continued consolidation of the chip industry could make it more difficult for us to grow our customer base, increase sales of our products and maintain adequate gross margins.
 
 
Our customers do not enter into long-term purchase commitments, and they may decrease, cancel or delay their projected purchases at any time.
 
In accordance with industry practice, our sales are on a purchase order basis, which we seek to obtain three to four months in advance of the expected product delivery date. Until a purchase order is received, we do not have a binding purchase commitment. Our SAPS and TEBO customers to date have provided us with non-binding one- to two-year forecasts of their anticipated demands, but those forecasts can be changed at any time, without any required notice to us. Because the lead-time needed to produce a tool customized to a customer’s specifications can extend up to six months, we may need to begin production of tools based on non-binding forecasts, rather than waiting to receive a binding purchase order. No assurance can be made that a customer’s forecast will result in a firm purchase order within the time period we expect, or at all.
 
If we do not accurately predict the amount and timing of a customer’s future purchases, we risk expending time and resources on producing a customized tool that is not purchased by a particular customer, which may result in excess or unwanted inventory, or we may be unable to fulfill an order on the schedule required by a purchase order, which would result in foregone sales. Customers may place purchase orders that exceed forecasted amounts, which could result in delays in our delivery time and harm our reputation. In the future a customer may decide not to purchase our tools at all, may purchase fewer tools than it did in the past or may otherwise alter its purchasing patterns, and the impact of any such actions may be intensified given our dependence on a small number of large customers. Our customers make major purchases periodically as they add capacity or otherwise implement technology upgrades. If any significant customers cancel, delay or reduce orders, our operating results could suffer.
 
We may incur significant expenses long before we can recognize revenue from new products, if at all, due to the costs and length of research, development, manufacturing and customer evaluation process cycles.
 
We often incur significant research and development costs for products that are purchased by our customers only after much, or all, of the cost has been incurred or that may never be purchased. We allow new customers, or existing customers considering new products, to evaluate products without any payment becoming due unless the product is ultimately accepted, which means we may invest $1.0 to $2.0 million in manufacturing a tool that may never be accepted and purchased or may be purchased months or even years after production. In the past we have borrowed money in order to fund first-time purchase order equipment and next-generation evaluation equipment. When we complete a first-time sale, we may not receive payment for up to 24 months. Even returning customers may take as long as six months to make any payments. If our sales efforts are unsuccessful after expending significant resources, or if we experience delays in completing sales, our future cash flow, revenue and profitability may fluctuate or be materially adversely affected.
 
Our sales cycle is long and unpredictable, which results in variability of our financial performance and may require us to incur high sales and marketing expenses with no assurance that a sale will result, all of which could adversely affect our profitability.
 
Our results of operations may fluctuate, in part, because of the resource-intensive nature of our sales efforts and the length and variability of our sales cycle. A sales cycle is the period between initial contact with a prospective customer and any sale of our tools. Our sales process involves educating customers about our tools, participating in extended tool evaluations and configuring our tools to customer-specific needs, after which customers may evaluate the tools. The length of our sales cycle, from initial contact with a customer to the execution of a purchase order, is generally 6 to 24 months. During the sales cycle, we expend significant time and money on sales and marketing activities and make investments in evaluation equipment, all of which lower our operating margins, particularly if no sale occurs or if the sale is delayed as a result of extended qualification processes or delays from our customers’ customers.
 
The duration or ultimate success of our sales cycle depends on factors such as:
 
● 
efforts by our sales force;
 
● 
the complexity of our customers’ manufacturing processes and the compatibility of our tools with those processes;
 
● 
our customers’ internal technical capabilities and sophistication; and
 
● 
our customers’ capital spending plans and processes, including budgetary constraints, internal approvals, extended negotiations or administrative delays.
 
It is difficult to predict exactly when, or even if, we will make a sale to a potential customer or if we can increase sales to our existing customers. As a result, we may not recognize revenue from our sales efforts for extended periods of time, or at all. The loss or delay of one or more large transactions in a quarter could impact our results of operations for that quarter and any future quarters for which revenue from that transaction is lost or delayed. In addition, we believe that the length of the sales cycle and intensity of the evaluation process may increase for those current and potential customers that centralize their purchasing decisions.
 
 
Difficulties in forecasting demand for our tools may lead to periodic inventory shortages or excess spending on inventory items that may not be used.
 
We need to manage our inventory of components and production of tools effectively to meet changing customer requirements. Accurately forecasting customers’ needs is difficult. Our tool demand forecasts are based on multiple assumptions, including non-binding forecasts received from our customers years in advance, each of which may introduce error into our estimates. Inventory levels for components necessary to build our tools in excess of customer demand may result in inventory write-downs and could have an adverse effect on our operating results and financial condition. Conversely, if we underestimate demand for our tools or if our manufacturing partners fail to supply components we require at the time we need them, we may experience inventory shortages. Such shortages might delay production or shipments to customers and may cause us to lose sales. These shortages may also harm our credibility, diminish the loyalty of our channel partners or customers.
 
A failure to prevent inventory shortages or accurately predict customers’ needs could result in decreased revenue and gross margins and harm our business.
 
Some of our products and supplies may become obsolete or be deemed excess while in inventory due to rapidly changing customer specifications, changes in product structure, components or bills of material as a result of engineering changes, or a decrease in customer demand. We also have exposure to contractual liabilities to our contract manufacturers for inventories purchased by them on our behalf, based on our forecasted requirements, which may become excess or obsolete. Our inventory balances also represent an investment of cash. To the extent our inventory turns are slower than we anticipate based on historical practice, our cash conversion cycle extends and more of our cash remains invested in working capital. If we are not able to manage our inventory effectively, we may need to write down the value of some of our existing inventory or write off non-saleable or obsolete inventory. Any such charges we incur in future periods could materially and adversely affect our results of operations.
 
The difficulty in forecasting demand also makes it difficult to estimate our future results of operations and financial condition from period to period. A failure to accurately predict the level of demand for our products could adversely affect our net revenue and net income, and we are unlikely to forecast such effects with any certainty in advance.
 
If our tools contain defects or do not meet customer specifications, we could lose customers and revenue.
 
Highly complex tools such as our may develop defects during the manufacturing and assembly process. We may also experience difficulties in customizing our tools to meet customer specifications or detecting defects during the development and manufacturing of our tools. Some of these failures may not be discovered until we have expended significant resources in customizing our tools, or until our tools have been installed in our customers’ production facilities. These quality problems could harm our reputation as well as our customer relationships in the following ways:
 
● 
our customers may delay or reject acceptance of our tools that contain defects or fail to meet their specifications;
 
● 
we may suffer customer dissatisfaction, negative publicity and reputational damage, resulting in reduced orders or otherwise damaging our ability to retain existing customers and attract new customers;
 
● 
we may incur substantial costs as a result of warranty claims or service obligations or in order to enhance the reliability of our tools;
 
● 
the attention of our technical and management resources may be diverted;
 
● 
we may be required to replace defective systems or invest significant capital to resolve these problems; and
 
● 
we may be required to write off inventory and other assets related to our tools.
 
In addition, defects in our tools or our inability to meet the needs of our customers could cause damage to our customers’ products or manufacturing facilities, which could result in claims for product liability, tort or breach of warranty, including claims from our customers. The cost of defending such a lawsuit, regardless of its merit, could be substantial and could divert management’s attention from our ongoing operations. In addition, if our business liability insurance coverage proves inadequate with respect to a claim or future coverage is unavailable on acceptable terms or at all, we may be liable for payment of substantial damages. Any or all of these potential consequences could have an adverse impact on our operating results and financial condition.
 
 
Warranty claims in excess of our estimates could adversely affect our business.
 
We have provided warranties against manufacturing defects of our tools that range from 12 to 36 months in duration. Our product warranty requires us to provide labor and parts necessary to repair defects. To date we have not accrued a significant liability contingency for potential warranty claims. Warranty claims substantially in excess of our expectations, or significant unexpected costs associated with warranty claims, could harm our reputation and could cause customers to decline to place new or additional orders, which could have a material adverse effect on our business, results of operations and financial condition.
 
We rely on third parties to manufacture significant portions of our tools and our failure to manage our relationships with these parties could harm our relationships with our customers, increase our costs, decrease our sales and limit our growth.
 
Our tools are complex and require components and subassemblies having a high degree of reliability, accuracy and performance. We rely on third parties to manufacture most of the subassemblies and supply most of the components used in our tools. Accordingly, we cannot directly control our delivery schedules and quality assurance. This lack of control could result in shortages or quality assurance problems. These issues could delay shipments of our tools, increase our testing costs or lead to costly failure claims.
 
We do not have long-term supply contracts with some of our suppliers, and those suppliers are not obligated to perform services or supply products to us for any specific period, in any specific quantities or at any specific price, except as may be provided in a particular purchase order. In addition, we attempt to maintain relatively low inventories and acquire subassemblies and components only as needed. There are significant risks associated with our reliance on these third-party suppliers, including:
 
● 
potential price increases;
 
● 
capacity shortages or other inability to meet any increase in demand for our products;
 
● 
reduced control over manufacturing process for components and subassemblies and delivery schedules;
 
● 
limited ability of some suppliers to manufacture and sell subassemblies or parts in the volumes we require and at acceptable quality levels and prices, due to the suppliers’ relatively small operations and limited manufacturing resources;
 
● 
increased exposure to potential misappropriation of our intellectual property; and
 
● 
limited warranties on subassemblies and components supplied to us.
 
Any delays in the shipment of our products due to our reliance on third-party suppliers could harm our relationships with our customers. In addition, any increase in costs due to our suppliers increasing the price they charge us for subassemblies and components or arising from our need to replace our current suppliers that we are unable to pass on to our customers could negatively affect our operating results.
 
Any shortage of components or subassemblies could result in delayed delivery of products to us or in increased costs to us, which could harm our business.
 
The ability of our manufacturers to supply our tools is dependent, in part, upon the availability certain components and subassemblies. Our manufacturers may experience shortages in the availability of such components or subassemblies, which could result in delayed delivery of products to us or in increased costs to us. Any shortage of components or subassemblies or any inability to control costs associated with manufacturing could increase the costs for our products or impair our ability to ship orders in a timely cost-efficient manner. As a result, we could experience cancellation of orders, refusal to accept deliveries or a reduction in our prices and margins, any of which could harm our financial performance and results of operations.
 
We depend on a limited number of suppliers, including single source suppliers, for critical components and subassemblies, and our business could be disrupted if they are unable to meet our needs.
 
We depend on a limited number of suppliers for components and subassemblies used in our tools. Certain components and subassemblies of our tools have only been purchased from our current suppliers to date, and changing the source of those components and subassemblies may result in disruptions during the transition process and entail significant delay and expense. We rely on Product Systems, Inc., or ProSys, as the sole supplier of megasonic transducers, a key subassembly used in our single-wafer cleaning equipment. We also rely on Ninebell Co., Ltd., or Ninebell, which is the principal supplier of robotic delivery system subassemblies used in our single-wafer cleaning equipment. An adverse change to our relationship with ProSys or Ninebell would disrupt our production of single-wafer cleaning equipment and could cause substantial harm to our business.
 
With some of these suppliers, we do not have long-term agreements and instead purchase components and subassemblies through a purchase order process. As a result, these suppliers may stop supplying us components and subassemblies, limit the allocation of supply and equipment to us due to increased industry demand or significantly increase their prices at any time with little or no advance notice. Our reliance on a limited number of suppliers could also result in delivery problems, reduced control over product pricing and quality, and our inability to identify and qualify another supplier in a timely manner.
 
 
Moreover, some of our suppliers may experience financial difficulties that could prevent them from supplying us with components or subassemblies used in the design and manufacture of our products. In addition, our suppliers, including our sole supplier ProSys, may experience manufacturing delays or shut downs due to circumstances beyond their control, such as labor issues, political unrest or natural disasters. Any supply deficiencies could materially and adversely affect our ability to fulfill customer orders and our results of operations. We have in the past and may in the future, experience delays or reductions in supply shipments, which could reduce our revenue and profitability. If key components or materials are unavailable, our costs would increase and our revenue would decline.
 
We have depended on PRC governmental subsidies to help fund our technology development since 2008, and our failure to obtain additional subsidies may impede our development of new technologies and may increase our cost of capital, either of which could make it difficult for us to expand our product base.
 
We received subsidies from local and central governmental authorities in the PRC in 2008, 2009 and 2014. These grants have provided a majority of the funding for our development and commercialization of stress-free polishing and electro copper-plating technologies. If we are unable to obtain similar governmental subsidies for development projects in the future, we may need to raise additional funds through public or private financings, strategic relationships, or other arrangements, which could force us to reduce our efforts to develop technologies beyond SAPS and TEBO. To the extent that we receive a lower level of, or no, governmental subsidies in the future, we may need to raise additional funds through public or private financings, strategic relationships, or other arrangements.
 
The success of our business will depend on our ability to manage any future growth.
 
We have experienced rapid growth in our business recently due, in part, to an expansion of our product offerings and an increase in the number of customers that we serve. For example, our headcount grew by 18.7% during 2016 and by an additional 28.1% during 2017. We will seek to continue to expand our operations in the future, including by adding new offices, locations and employees. Managing our growth has placed and could continue to place a significant strain on our management, other personnel and our infrastructure. If we are unable to manage our growth effectively, we may not be able to take advantage of market opportunities, develop new products, enhance our technological capabilities, satisfy customer requirements, respond to competitive pressures or otherwise execute our business plan. In addition, any inability to manage our growth effectively could result in operating inefficiencies that could impair our competitive position and increase our costs disproportionately to the amount of growth we achieve. To manage our growth, we believe we must effectively:
 
● 
hire, train, integrate and manage additional qualified engineers for research and development activities, sales and marketing personnel, service and support personnel and financial and information technology personnel;
 
● 
manage multiple relationships with our customers, suppliers and other third parties; and
 
● 
continue to enhance our information technology infrastructure, systems and controls.
 
Our organizational structure has become more complex, and we will need to continue to scale and adapt our operational, financial and management controls, as well as our reporting systems and procedures. The continued expansion of our infrastructure will require us to commit substantial financial, operational and management resources before our revenue increases and without any assurances that our revenue will increase.
 
We are highly dependent on our Chief Executive Officer and President and other senior management and key employees , and we currently do not have a permanent Chief Financial Officer.
 
Our success largely depends on the skills, experience and continued efforts of our management, technical and sales personnel, including in particular Dr. David H. Wang, our Chair of the Board, Chief Executive Officer, President and founder. In January 2018 we notified our former Chief Financial Officer of the termination of his employment effective January 24, 2018. Our Chief Accounting Officer, who joined us effective January 24, 2018, currently is serving as our interim Chief Financial Officer. We are uncertain as to when we will be able to identify and hire a successor Chief Financial Office, and we may incur significant expense in recruiting and hiring such a successor. If one or more of our other senior management were unable or unwilling to continue their employment with us, we may not be able to replace them in a timely manner. We may incur additional expenses to recruit and retain qualified replacements. We do not currently maintain key person life insurance policies on any of our employees. Our business may be severely disrupted and our financial condition and results of operations may be materially and adversely affected. In addition, our senior management may join a competitor or form a competing company. All of our senior management are at-will employees, which means either we or the employee may terminate their employment at any time. The loss of Dr. Wang or other key management personnel, including our former Chief Financial Officer, could significantly delay or prevent the achievement of our business objectives.
 
 
Failure to attract and retain qualified personnel could put us at a competitive disadvantage and prevent us from effectively growing our business.
 
Our future success depends, in part, on our ability to continue to attract and retain highly skilled personnel. There is substantial competition for experienced management, technical and sales personnel in the chip equipment industry. If qualified personnel become scarce or difficult to attract or retain for compensation-related or other reasons, we could experience higher labor, recruiting or training costs. New hires may require significant training and time before they achieve full productivity and may not become as productive as we expect. If we are unable to retain and motivate our existing employees and attract qualified personnel to fill key positions, we may experience inadequate levels of staffing to develop and market our products and perform services for our customers, which could have a negative effect on our operating results.
 
Our ability to utilize certain U.S. and state net operating loss carryforwards may be limited under applicable tax laws.
 
As of December 31, 2017, we had net operating loss carryforward amounts, or NOLs, of $20.1 million for U.S. federal income tax purposes and $536,000 for U.S. state income tax purposes. The federal and state NOLs will expire at various dates beginning in 2019.
 
Utilization of these NOLs could be subject to a substantial annual limitation if the ownership change limitations under U.S. Internal Revenue Code Sections 382 and 383 and similar U.S. state provisions are triggered by changes in the ownership of our capital stock. Such an annual limitation would result in the expiration of the NOLs before utilization. Our existing NOLs may be subject to limitations arising from previous ownership changes , including in connection with our initial public offering and concurrent private placement in November 2017 and any future follow-on public offerings. Future changes in our stock ownership, some of which are outside of our control, could result in an ownership change. Regulatory changes, such as suspensions on the use of NOLs, or other unforeseen reasons, may cause our existing NOLs to expire or otherwise become unavailable to offset future income tax liabilities. Additionally, U.S. state NOLs generated in one state cannot be used to offset income generated in another U.S. state. For these reasons, we may be limited in our ability to realize tax benefits from the use of our NOLs, even if our profitability would otherwise allow for it.
 
Acquisitions that we pursue in the future, whether or not consummated, could result in other operating and financial difficulties.
 
In the future we may seek to acquire additional product lines, technologies or businesses in an effort to increase our growth, enhance our ability to compete, complement our product offerings, enter new and adjacent markets, obtain access to additional technical resources, enhance our intellectual property rights or pursue other competitive opportunities. We may also make investments in certain key suppliers to align our interests with such suppliers. If we seek acquisitions, we may not be able to identify suitable acquisition candidates at prices we consider appropriate. We cannot readily predict the timing or size of our future acquisitions, or the success of any future acquisitions.
 
To the extent that we consummate acquisitions or investments, we may face financial risks as a result, including increased costs associated with merged or acquired operations, increased indebtedness, economic dilution to gross and operating profit and earnings per share, or unanticipated costs and liabilities. Acquisitions may involve additional risks, including:
 
● 
the acquired product lines, technologies or businesses may not improve our financial and strategic position as planned;
 
● 
we may determine we have overpaid for the product lines, technologies or businesses, or that the economic conditions underlying our acquisition have changed;
 
● 
we may have difficulty integrating the operations and personnel of the acquired company;
 
● 
we may have difficulty retaining the employees with the technical skills needed to enhance and provide services with respect to the acquired product lines or technologies;
 
● 
the acquisition may be viewed negatively by customers, employees, suppliers, financial markets or investors;
 
● 
we may have difficulty incorporating the acquired product lines or technologies with our existing technologies;
 
● 
we may encounter a competitive response, including price competition or intellectual property litigation;
 
● 
we may become a party to product liability or intellectual property infringement claims as a result of our sale of the acquired company’s products;
 
● 
we may incur one-time write-offs, such as acquired in-process research and development costs, and restructuring charges;
 
● 
we may acquire goodwill and other intangible assets that are subject to impairment tests, which could result in future impairment charges;
 
● 
our ongoing business and management’s attention may be disrupted or diverted by transition or integration issues and the complexity of managing geographically or culturally diverse enterprises; and
 
● 
our due diligence process may fail to identify significant existing issues with the target business.
 
 
From time to time, we may enter into negotiations for acquisitions or investments that are not ultimately consummated. These negotiations could result in significant diversion of management time, as well as substantial out-of-pocket costs, any of which could have a material adverse effect on our business, operating results and financial condition.
 
Future declines in the semiconductor industry, and the overall world economic conditions on which the industry is significantly dependent, could have a material adverse impact on our results of operations and financial condition.
 
Our business depends on the capital equipment expenditures of chip manufacturers, which in turn depend on the current and anticipated market demand for integrated circuits. With the consolidation of customers within the industry, the chip capital equipment market may experience rapid changes in demand driven both by changes in the market generally and the plans and requirements of particular customers. Global economic and business conditions, which are often unpredictable, have historically impacted customer demand for our products and normal commercial relationships with our customers, suppliers and creditors. Additionally, in times of economic uncertainty our customers’ budgets for our tools, or their ability to access credit to purchase them, could be adversely affected. This would limit their ability to purchase our products and services. As a result, economic downturns could cause material adverse changes to our results of operations and financial condition including:
 
● 
a decline in demand for our products;
 
● 
an increase in reserves on accounts receivable due to our customers’ inability to pay us;
 
● 
an increase in reserves on inventory balances due to excess or obsolete inventory as a result of our inability to sell such inventory;
 
● 
valuation allowances on deferred tax assets;
 
● 
restructuring charges;
 
● 
asset impairments including the potential impairment of goodwill and other intangible assets;
 
● 
a decline in the value of our investments;
 
● 
exposure to claims from our suppliers for payment on inventory that is ordered in anticipation of customer purchases that do not come to fruition;
 
● 
a decline in the value of certain facilities we lease to less than our residual value guarantee with the lessor; and
 
● 
challenges maintaining reliable and uninterrupted sources of supply.
 
Fluctuating levels of investment by chip manufacturers may materially affect our aggregate shipments, revenue, operating results and earnings. Where appropriate, we will attempt to respond to these fluctuations with cost management programs aimed at aligning our expenditures with anticipated revenue streams, which could result in restructuring charges. Even during periods of reduced revenues, we must continue to invest in research and development and maintain extensive ongoing worldwide customer service and support capabilities to remain competitive, which may temporarily harm our profitability and other financial results.
 
We conduct substantially all of our operations outside the United States and face risks associated with conducting business in foreign markets.
 
All of our sales in 2016 and 2017 were made to customers outside the United States. Our manufacturing center has been located in Shanghai, PRC since 2006 and substantially all of our operations are located in the PRC. We expect that all of our significant activities will remain outside the United States in the future. We are subject to a number of risks associated with our international business activities, including:
 
● 
imposition of, or adverse changes in, foreign laws or regulatory requirements;
 
● 
the need to comply with the import laws and regulations of various foreign jurisdictions, including a range of U.S. import laws;
 
● 
potentially adverse tax consequences, including withholding tax rules that may limit the repatriation of our earnings, and higher effective income tax rates in foreign countries where we conduct business;
 
 
● 
competition from local suppliers with which potential customers may prefer to do business;
 
● 
seasonal reduction in business activity, such as during Chinese, or Lunar, New Year in parts of Asia and in other periods in various individual countries;
 
● 
increased exposure to foreign currency exchange rates;
 
● 
reduced protection for intellectual property;
 
● 
longer sales cycles and reliance on indirect sales in certain regions;
 
● 
increased length of time for shipping and acceptance of our products;
 
● 
greater difficulty in responding to customer requests for maintenance and spare parts on a timely basis;
 
● 
greater difficulty in enforcing contracts and accounts receivable collection and longer collection periods;
 
● 
difficulties in staffing and managing foreign operations and the increased travel, infrastructure and legal and compliance costs associated with multiple international locations;
 
● 
heightened risk of unfair or corrupt business practices in certain geographies and of improper or fraudulent sales arrangements that may impact financial results and result in restatements of, or irregularities in, our consolidated financial statements; and
 
● 
general economic conditions, geopolitical events or natural disasters in countries where we conduct our operations or where our customers are located, including political unrest, war, acts of terrorism or responses to such events.
 
In particular, the Asian market is extremely competitive, and chip manufacturers may be aggressive in seeking price concessions from suppliers, including chip equipment manufacturers.
 
We may not be successful in developing and implementing policies and strategies that will be effective in managing these risks in each country in which we do business. Our failure to manage these risks successfully could adversely affect our business, operating results and financial condition.
 
Fluctuation in foreign currency exchange rates may adversely affect our results of operations and financial position.
 
Our results of operations and financial position could be adversely affected as a result of fluctuations in foreign currency exchange rates. Although our financial statements are denominated in U.S. dollars, a sizable portion of our revenues and costs are denominated in other currencies, primarily the Chinese Renminbi. Because many of our raw material purchases are denominated in Renminbi while the majority of the purchase orders we receive are denominated in U.S. dollars, exchange rates have a significant effect on our gross margin. We have not engaged in any foreign currency exchange hedging transactions to date, and any strategies that we may use in the future to reduce the adverse impact of fluctuations in foreign currency exchange rates may not be successful. Our foreign currency exposure with respect to assets and liabilities for which we do not have hedging arrangements could have a material impact on our results of operations in periods when the U.S. dollar significantly fluctuates in relation to unhedged non-U.S. currencies in which we transact business.
 
Changes in political and economic policies of the PRC government may materially and adversely affect our business, financial condition and results of operations and may result in our inability to sustain our growth and expansion strategies.
 
Substantially all of our operations are conducted in the PRC, and a substantial majority of our revenue is sourced from the PRC. Accordingly, our financial condition and results of operations are affected to a significant extent by economics, political and legal developments in the PRC.
 
The Chinese economy differs from the economies of most developed countries in many respects, including the extent of government involvement, level of development, growth rate, and control of foreign exchange and allocation of resources. Although the PRC government has implemented measures emphasizing the utilization of market forces for economic reform, the reduction of state ownership of productive assets and the establishment of improved corporate governance in business enterprises, a substantial portion of productive assets in the PRC are still owned by the government. In addition, the PRC government continues to play a significant role in regulating industry development by imposing industrial policies. The PRC government also exercises significant control over economic growth in the PRC by allocating resources, controlling payment of foreign currency-denominated obligations, setting monetary policy, regulating financial services and institutions, and providing preferential treatment to particular industries or companies.
 
 
While the PRC economy has experienced significant growth in the past three decades, growth has been uneven, both geographically and among various sectors of the economy. The PRC government has implemented various measures to encourage economic growth and guide the allocation of resources. Some of these measures may benefit the overall PRC economy, but may also have a negative effect on us. Our financial condition and results of operation could be materially and adversely affected by government control over capital investments or changes in tax regulations that are applicable to us. In the past the PRC government has implemented measures to control the pace of economic growth, and similar measures in the future may cause decreased economic activity, which in turn could lead to a reduction in demand for our products and consequently have a material adverse effect on our businesses, financial condition and results of operations.
 
Although the PRC government has been implementing policies to develop an independent domestic semiconductor industry supply chain, there is no guaranteed time frame in which these initiatives will be implemented. We cannot guarantee that the implementation of these policies will result in additional revenue to us or that our presence in the PRC will result in support from the PRC government. To the extent that any capital investment or other assistance from the PRC government is not provided to us, it could be used to promote the products and technologies of our competitors, which could adversely affect our business, operating results and financial condition.
 
We are subject to government regulation, including import, export, economic sanctions, and anti-corruption laws and regulations, that may limit our sales opportunities, expose us to liability and increase our costs.
 
Our products are subject to import and export controls in jurisdictions in which we distribute or sell our products. Import and exports control and economic sanctions laws and regulations include restrictions and prohibitions on the sale or supply of certain products and on our transfer of parts, components, and related technical information and know-how to certain countries, regions, governments, persons and entities.
 
Various countries regulate the importation of certain products through import permitting and licensing requirements and have enacted laws that could limit our ability to distribute our products. The exportation, re-exportation, transfers within foreign countries and importation of our products, including by our partners, must comply with these laws and regulations, and any violations may result in reputational harm, government investigations and penalties, and a denial or curtailment of exporting. Complying with export control and sanctions laws for a particular sale may be time consuming, may increase our costs, and may result in the delay or loss of sales opportunities. If we are found to be in violation of U.S. sanctions or export control laws, or similar laws in other jurisdictions, we and the individuals working for us could incur substantial fines and penalties. Changes in export, sanctions or import laws or regulations may delay the introduction and sale of our products in international markets, require us to spend resources to seek necessary government authorizations or to develop different versions of our products, or, in some cases, prevent the export or import of our products to certain countries, regions, governments, persons or entities, which could adversely affect our business, financial condition and operating results.
 
We are subject to various domestic and international anti-corruption laws, such as the U.S. Foreign Corrupt Practices Act, as well as similar anti-bribery and anti-kickback laws and regulations. These laws and regulations generally prohibit companies and their intermediaries from offering or making improper payments to non-U.S. officials for the purpose of obtaining, retaining or directing business. Our exposure for violating these laws and regulations increases as our international presence expands and as we increase sales and operations in foreign jurisdictions.
 
Breaches of our cybersecurity systems could degrade our ability to conduct our business operations and deliver products to our customers, result in data losses and the theft of our intellectual property, damage our reputation, and require us to incur significant additional costs to maintain the security of our networks and data.
 
We increasingly depend upon our information technology systems to conduct our business operations, ranging from our internal operations and product development and manufacturing activities to our marketing and sales efforts and communications with our customers and business partners. Computer programmers may attempt to penetrate our network security, or that of our website, and misappropriate our proprietary information or cause interruptions of our service. Because the techniques used by such computer programmers to access or sabotage networks change frequently and may not be recognized until launched against a target, we may be unable to anticipate these techniques. We have also outsourced a number of our business functions to third-party contractors, including our manufacturers, and our business operations also depend, in part, on the success of our contractors’ own cybersecurity measures. Accordingly, if our cybersecurity systems and those of our contractors fail to protect against unauthorized access, sophisticated cyberattacks and the mishandling of data by our employees and contractors, our ability to conduct our business effectively could be damaged in a number of ways, including sensitive data regarding our employees or business, including intellectual property and other proprietary data, could be stolen. Should this occur, we could be subject to significant claims for liability from our customers and regulatory actions from governmental agencies. In addition, our ability to protect our intellectual property rights could be compromised and our reputation and competitive position could be significantly harmed. Consequently, our financial performance and results of operations could be adversely affected.
 
 
Our production facilities could be damaged or disrupted by a natural disaster, war, terrorist attacks or other catastrophic events.
 
Our manufacturing facilities are subject to risks associated with natural disasters, such as earthquakes, fires, floods tsunami, typhoons and volcanic activity, environmental disasters, health epidemics, and other events beyond our control such as power loss, telecommunications failures, and uncertainties arising out of armed conflicts or terrorist attacks. A substantial majority of our facilities as well as our research and development personnel are located in the PRC. Any catastrophic loss or significant damage to any of our facilities would likely disrupt our operations, delay production, and adversely affect our product development schedules, shipments and revenue. In addition, any such catastrophic loss or significant damage could result in significant expense to repair or replace the facility and could significantly curtail our research and development efforts in a particular product area or primary market, which could have a material adverse effect on our operations and operating results.
 
Our management and auditors identified a material weakness in our internal control over financial reporting that, if not properly remediated, could result in material misstatements in our consolidated financial statements that could cause investors to lose confidence in our reported financial information and have a negative effect on the trading price of our stock.
 
Neither we nor BDO China Shu Lun Pan Certified Public Accountants LLP, or BDO China, our independent registered public accounting firm, has performed a comprehensive assessment of our internal control over financial reporting, as defined by the American Institute of Certified Public Accountants, for purposes of identifying and reporting material weaknesses and other control deficiencies. We are not currently required to comply with Section 404 of the Sarbanes-Oxley Act and therefore are not required to assess the effectiveness of our internal control over financial reporting. Further, BDO China has not been engaged to express, nor has it expressed, an opinion on the effectiveness of our internal control over financial reporting.
 
In connection with its audit of our consolidated financial statements as of, and for the year ended, December 31, 2016, BDO China informed us that it had identified a material weakness in our internal control over financial reporting relating to our lack of sufficient qualified financial reporting and accounting personnel with an appropriate level of expertise to properly address complex accounting issues under accounting principles generally accepted in the United States, or GAAP, and to prepare and review our consolidated financial statements and related disclosures to fulfill GAAP and SEC financial reporting requirements. As of December 31, 2017, we considered we were still in a transitional period to enhance the quality of our accounting and financial reporting function, we determined that the above mentioned material weakness had not been fully remediated. We have taken, and are continuing to take, remedial measures to improve the effectiveness of our controls, including by hiring additional accounting and finance personnel and by engaging outside consulting firms, although we now are also seeking to identify a qualified candidate to succeed our former Chief Financial Officer whose employment terminated effective January 24, 2018.
 
The existence of material weaknesses is an indication that there is a more than remote likelihood that a material misstatement of our financial statements will not be prevented or detected in a future period, and the process of designing and implementing effective internal controls and procedures will be a continual effort that may require us to expend significant resources to establish and maintain a system of controls that is adequate to satisfy our reporting obligations as a public company. We cannot assure you that the measures we take will be sufficient to remediate the material weakness identified by BDO China or that we will implement and maintain adequate controls over our financial processes and reporting in the future in order to avoid additional material weaknesses or controlled deficiencies in our internal control over financing reporting. If our remediation efforts are not successful or other material weaknesses or control deficiencies occur in the future, we may be unable to report our financial results accurately or on a timely basis, which could cause our reported financial results to be materially misstated and result in the loss of investor confidence and cause the trading price of Class A common stock to decline. Moreover, ineffective controls could significantly hinder our ability to prevent fraud.
 
Our auditor, as a registered public accounting firm operating in the PRC, is not permitted to be inspected by the Public Company Accounting Oversight Board, and consequently investors may be deprived of the benefits of such inspections.
 
BDO China is the independent registered public accounting firm that issued the audit report included in this report in connection with our consolidated financial statements as of, and for the years ended, December 31, 2017 and 2016. BDO China, as an auditor of companies that are traded publicly in the United States and a firm registered with the U.S. Public Company Accounting Oversight Board, or PCAOB, is required by the laws of the United States to undergo regular inspections by the PCAOB to assess its compliance with the laws of the United States and applicable professional standards. BDO China is located in the PRC. The PCAOB is currently unable to conduct inspections on auditors in the PRC without the approval of PRC authorities, and therefore BDO China, like other independent registered public accounting firms operating in the PRC, is currently not inspected by the PCAOB.
 
In May 2013 the PCAOB announced that it had entered into a Memorandum of Understanding on Enforcement Cooperation with the China Securities Regulatory Commission and the Ministry of Finance of China pursuant to which the Ministry of Finance established a cooperative framework between the parties for the production and exchange of audit documents relevant to investigations in both the PRC and the United States. More specifically, the Memorandum of Understanding provides a mechanism for the parties to request and receive from each other assistance in obtaining documents and information in furtherance of their investigative duties. In addition the PCAOB is engaged in continuing discussions with the China Securities Regulatory Commission and the Ministry of Finance to permit joint inspections in the PRC of audit firms that are registered with the PCAOB and to audit PRC companies whose securities are listed on U.S. stock exchanges.
 
 
The PCAOB’s inspections of firms outside of the PRC have identified deficiencies in audit procedures and quality control procedures, and such deficiencies may be addressed as part of the inspection process to improve future audit quality. The inability of the PCAOB to conduct inspections of BDO China with respect to its audit of our consolidated financial statements may make it more difficult for investors to evaluate BDO China’s audit procedures and quality control procedures by depriving investors of potential benefits from improvements that could have been facilitated by PCAOB inspections.
 
Risks Relating to Our Intellectual Property
 
Our success depends on our ability to protect our intellectual property, including our SAPS and TEBO technologies.
 
Our commercial success depends in part on our ability to obtain and maintain patent and trade secret protection for our intellectual property, including our SAPS and TEBO technologies and the design of our Ultra C equipment, as well as our ability to operate without infringing upon the proprietary rights of others. There can be no assurance that our patent applications will result in additional patents being issued or that issued patents will afford sufficient protection against competitors with similar technology, nor can there be any assurance that the patents issued will not be infringed, designed around, or invalidated by third parties. Even issued patents may later be found unenforceable or may be modified or revoked in proceedings instituted by third parties before various patent offices or in courts. The degree of future protection for our intellectual property is uncertain. Only limited protection may be available and may not adequately protect our rights or permit us to gain or keep any competitive advantage. This failure to properly protect the intellectual property rights relating to our products and technologies could have a material adverse effect on our financial condition and results of operations.
 
The patent application process is subject to numerous risks and uncertainties, and there can be no assurance that we or any of our future development partners will be successful in protecting our product candidates by obtaining and defending patents. These risks and uncertainties include the following:
 
● 
The U.S. Patent and Trademark Office and various foreign governmental patent agencies require compliance with a number of procedural, documentary, fee payment and other provisions during the patent process. There are situations in which noncompliance can result in abandonment or lapse of a patent or patent application, resulting in partial or complete loss of patent rights in the relevant jurisdiction. In such an event, competitors might be able to enter the market earlier than would otherwise have been the case.
 
● 
Patent applications may not result in any patents being issued.
 
● 
Patents that may be issued may be challenged, invalidated, modified, revoked, circumvented, found to be unenforceable or otherwise may not provide any competitive advantage.
 
● 
Our competitors may seek or may have already obtained patents that will limit, interfere with, or eliminate our ability to make, use and sell our potential product candidates.
 
● 
The PRC and other countries other than the United States may have patent laws less favorable to patentees than those upheld by U.S. courts, allowing foreign competitors a better opportunity to create, develop and market competing product candidates.
 
In addition, we rely on the protection of our trade secrets and know-how. Although we have taken steps to protect our trade secrets and unpatented know-how, including entering into confidentiality and non-disclosure agreements with third parties and confidential information and inventions agreements with key employees, customers and suppliers, other parties may still obtain this information or may come upon this information independently. If any of these events occurs or if we otherwise lose protection for our trade secrets or proprietary know-how, the value of this information may be greatly reduced.
 
We may be involved in lawsuits to protect or enforce our patents, which could be expensive, time consuming and unsuccessful.
 
Competitors may infringe upon our patents. If our technologies are adopted, we believe that competitors may try to match our technologies and tools in order to compete. To counter infringement or unauthorized use, we may be required to file infringement claims, which can be expensive and time consuming. An adverse result in any litigation or defense proceedings, including our current suits, could put one or more of our patents at risk of being invalidated, found to be unenforceable or interpreted narrowly and could put our patent applications at risk of not issuing. Furthermore, because of the substantial amount of discovery required in connection with intellectual property litigation, there is a risk that some of our confidential information could be compromised by disclosure during litigation. In addition, any future patent litigation, interference or other administrative proceedings will result in additional expense and distraction of our personnel. Most of our competitors are larger than we are and have substantially greater resources, and they therefore are likely to be able to sustain the costs of complex patent litigation longer than we could. An adverse outcome in such litigation or proceedings may expose us to loss of our proprietary position.
 
 
We may not be able to protect our intellectual property rights throughout the world, which could materially, negatively affect our business.
 
Filing, prosecuting and defending patents on our products or proprietary technologies in all countries throughout the world would be prohibitively expensive, and our intellectual property rights in some countries outside the United States, including the PRC, can be less extensive than those in the United States. In addition, the laws of some foreign countries do not protect intellectual property rights to the same extent as federal and state laws in the United States. Consequently, competitors may use our technologies in jurisdictions where we have not obtained patent protection to develop their own products and may export otherwise infringing products to territories where we have patent protection but enforcement is not as strong as that in the United States. These products may compete with our products, and our patents or other intellectual property rights may not be effective or sufficient to prevent them from competing.
 
Many companies have encountered significant problems in protecting and defending intellectual property rights in foreign jurisdictions. The legal systems of certain countries, particularly certain developing countries, do not favor the enforcement of patents and other intellectual property protection, which could make it difficult for us to stop the infringement of our patents or marketing of competing products in violation of our proprietary rights generally. Proceedings to enforce our patent rights in foreign jurisdictions could result in substantial costs and divert our efforts and attention from other aspects of our business, could put our patents at risk of being invalidated or interpreted narrowly and our patent applications at risk of not issuing, and could provoke third parties to assert claims against us. We may not prevail in any lawsuits that we initiate, and the damages or other remedies awarded, if any, may not be commercially meaningful. Accordingly, our efforts to enforce our intellectual property rights around the world may be inadequate to obtain a significant commercial advantage from the intellectual property that we develop or license and may adversely affect our business.
 
If we are sued for infringing intellectual property rights of third parties, it will be costly and time consuming, and an unfavorable outcome in that litigation could have a material adverse effect on our business.
 
Our success depends on our ability to develop, manufacture, market and sell our products without infringing upon the proprietary rights of third parties. Numerous U.S. and foreign-issued patents and pending patent applications owned by third parties exist in the fields in which we are developing products, some of which may contain claims that overlap with the subject matter of our intellectual property. A third party has claimed in the past, and others may claim in the future, that our technology or products infringe their intellectual property. In some instances third parties may initiate litigation against us in an effort to prevent us from using our technology in alleged violation of their intellectual property rights. The risk of such a lawsuit will likely increase as our size and the number and scope of our products increase and as our geographic presence and market share expand.
 
Any potential intellectual property claims or litigation commenced against us could:
 
● 
be time consuming and expensive to defend, whether or not meritorious;
 
● 
force us to stop selling products or using technology that allegedly infringes the third party’s intellectual property rights;
 
● 
delay shipments of our products;
 
● 
require us to pay damages or settlement fees to the party claiming infringement;
 
● 
require us to attempt to obtain a license to the relevant intellectual property, which may not be available on reasonable terms or at all;
 
● 
force us to attempt to redesign products that contain the allegedly infringing technology, which could be expensive or which we may be unable to do;
 
● 
require us to indemnify our customers, suppliers or other third parties for any loss caused by their use of our technology that allegedly infringes the third party’s intellectual property rights; or
 
● 
divert the attention of our technical and managerial resources.
 
 
Because patent applications can take many years to issue, there may be currently pending applications, unknown to us, that may later result in issued patents upon which our products or technologies may infringe. Similarly, there may be issued patents relevant to our products of which we are not aware.
 
Risks Related to Ownership of Class A Common Stock
 
The market price of Class A common stock has been and may continue to be volatile, which could result in substantial losses for investors purchasing our shares
 
Class A common stock only commenced trading on the Nasdaq Global Market, or Nasdaq, on November 3, 2017, and the market price of Class A common stock has been, and could continue to be, subject to significant fluctuations. The market price of Class A common stock may fluctuate significantly in response to numerous factors, many of which are beyond our control, including:
 
● 
actual or anticipated fluctuations in our revenue and other operating results;
 
● 
the financial projections we may provide to the public, any changes in these projections or our failure to meet these projections;
 
● 
actions of securities analysts who initiate or maintain coverage of us, changes in financial estimates by any securities analysts who follow our company, or our failure to meet these estimates or the expectations of investors;
 
● 
changes in projections for the chips or chip equipment industries or in the operating performance or expectations and stock market valuations of chip companies, chip equipment companies or technology companies in general;
 
● 
changes in operating results;
 
● 
any changes in the financial projections we may provide to the public, our failure to meet these projections, or changes in recommendations by any securities analysts that elect to follow Class A common stock;
 
● 
additional shares of Class A common stock being sold into the market by us or our existing stockholders or the anticipation of such sales;
 
● 
price and volume fluctuations in the overall stock market, including as a result of trends in the economy as a whole;
 
● 
lawsuits threatened or filed against us;
 
● 
litigation and other developments relating to our patents or other proprietary rights or those of our competitors;
 
● 
developments in new legislation and pending lawsuits or regulatory actions, including interim or final rulings by judicial or regulatory bodies; and
 
● 
general economic trends, including changes in the demand for electronics or information technology or geopolitical events such as war or acts of terrorism, or any responses to such events.
 
In recent years, the stock market in general, and Nasdaq in particular, has experienced extreme price and volume fluctuations that have often been unrelated or disproportionate to changes in the operating performance of the companies whose stock is experiencing those price and volume fluctuations.
 
As a newly public company, our stock price may be volatile, and securities class action litigation has often been instituted against companies following periods of volatility of their stock price. Any such litigation, if instituted against us, could result in substantial costs and a diversion of our management’s attention and resources.
 
In the past, following periods of volatility in the overall market and the market price of a particular company’s securities, securities class action litigation has often been instituted against these companies. This litigation, if instituted against us, could result in substantial costs and a diversion of our management’s attention and resources.
 
 
An active trading market for Class A common stock may not be sustained.
 
Class A common stock has been listed on Nasdaq only since November 3, 2017, and we cannot assure you that an active trading market for Class A common stock will be sustained or maintained. The lack of an active market may impair your ability to sell your shares at the time you wish to sell them or at a price that you consider reasonable. The lack of an active market may also reduce the fair market value of your shares. There can be no assurance that we will be able to successfully develop or maintain a liquid market for Class A common stock.
 
We have broad discretion in the use of the net proceeds from our initial public offering and the concurrent private placement, and we may not succeed in using those net proceeds effectively.
 
In November 2017 we issued and sold 2,233,000 shares of Class A common stock in our initial public offering, or IPO, and an additional 1,333,334 shares of Class A common stock in a private placement, which we refer to as the concurrent private placement. We cannot specify with any certainty the particular uses of the net proceeds that we received from the IPO and the concurrent private placement. Our management has broad discretion in the application of these net proceeds, including working capital and other general corporate purposes, and we may spend or invest these proceeds in a way with which our stockholders disagree. The failure by management to apply these funds effectively could harm our business and financial condition. Pending their use, we may invest the net proceeds in a manner that does not produce income or that loses value.
 
If securities or industry analysts do not publish research or reports about us, our business or our market, or if they publish negative evaluations of Class A common stock or the stock of other companies in our industry, the price of our stock and trading volume could decline.
 
The trading market for Class A common stock will depend in part on the research and reports that securities or industry analysts publish about us or our business. If one or more of the analysts who cover us downgrade the Class A common stock or publish inaccurate or unfavorable research about our business, the Class A common stock price would likely decline. In addition, if one or more of these analysts ceases coverage of the Class A common stock or fails to publish reports about the Class A common stock on a regular basis, we could lose visibility in the financial markets, which in turn could cause the Class A common stock price or trading volume to decline.
 
Requirements associated with being a public reporting company will increase our costs significantly, as well as divert significant company resources and management attention.
 
We are subject to the reporting requirements of the Securities Exchange Act, the Sarbanes-Oxley Act, the Dodd-Frank Wall Street Reform and Consumer Protection Act, the listing requirements of Nasdaq, and other rules and regulations of the SEC. We are working with our legal, independent accounting and financial advisors to identify those areas in which changes should be made to our financial and management control systems to manage our growth and our obligations as a public reporting company. These areas include corporate governance, corporate control, disclosure controls and procedures, and financial reporting and accounting systems. We have made, and will continue to make, changes in these and other areas. Compliance with the various reporting and other requirements applicable to public reporting companies will require considerable time, attention of management and financial resources. In addition, the changes we make may not be sufficient to allow us to satisfy our obligations as a public reporting company on a timely basis.
 
The listing requirements of Nasdaq require that we satisfy certain corporate governance requirements relating to director independence, distributing annual and interim reports, stockholder meetings, approvals and voting, soliciting proxies, conflicts of interest and a code of conduct. Our management and other personnel will need to devote a substantial amount of time to ensure that we comply with all of these requirements. The reporting requirements, rules and regulations will increase our legal and financial compliance costs and will make some activities more time-consuming and costly. These reporting requirements, rules and regulations, coupled with the increase in potential litigation exposure associated with being a public company, could also make it more difficult for us to attract and retain qualified persons to serve as our directors or executive officers, or to obtain certain types of insurance, including director and officer liability insurance, on acceptable terms.
 
We have never paid and do not intend to pay cash dividends and, consequently, your ability to achieve a return on your investment will depend on appreciation in the price of Class A common stock.
 
We have never declared or paid cash dividends on our capital stock. We currently intend to retain any future earnings to finance the operation and expansion of our business, and we do not expect to declare or pay any dividends in the foreseeable future. Accordingly, you may only receive a return on your investment in Class A common stock if the market price of Class A common stock increases.
 
Our ability to pay dividends on Class A common stock depends significantly on our receiving distributions of funds from our subsidiaries in the PRC. PRC statutory laws and regulations permit payments of dividends by those subsidiaries only out of their retained earnings, which are determined in accordance with PRC accounting standards and regulations that differ from U.S. generally accepted accounting principles. The PRC regulations and our subsidiaries’ articles of association require annual appropriations of 10% of net after-tax profits to be set aside, prior to payment of dividends, as a reserve or surplus fund, which restricts our subsidiaries’ ability to transfer a portion of their net assets to us. In addition, our subsidiaries’ short-term bank loans restrict their ability to pay dividends to us.
 
 
The dual class structure of Class A common stock has the effect of concentrating voting control with our executive officers and directors, including our Chief Executive Officer and President, which will limit or preclude your ability to influence corporate matters.
 
Class B common stock has twenty votes per share and Class A common stock has one vote per share. As of March 19, 2018, stockholders who hold shares of Class B common stock, who consist principally of our executive officers, employees, directors and their respective affiliates, collectively held 78.8% of the voting power of our outstanding capital stock. Because of the twenty-to-one voting ratio between Class B and Class A common stock, holders of Class B common stock collectively will continue to control a majority of the combined voting power of Class A common stock and therefore be able to control all matters submitted to our stockholders for approval so long as the shares of Class B common stock represent at least 4.8% of all outstanding shares of Class A and Class B common stock. This concentrated control will limit or preclude your ability to influence corporate matters for the foreseeable future. This concentrated control could also discourage a potential investor from acquiring Class A common stock due to the limited voting power of such stock relative to the Class B common stock and might harm the market price of Class A common stock.
 
Future transfers by holders of Class B common stock will result in those shares converting to Class A common stock, subject to limited exceptions. The conversion of Class B common stock to Class A common stock will have the effect, over time, of increasing the relative voting power of those holders of Class B common stock who retain their shares in the long term.
 
Substantial future sales of shares by existing stockholders, or the perception that such sales may occur, could cause our stock price to decline.
 
If our existing stockholders, particularly our directors and executive officers, sell substantial amounts of Class A common stock in the public market, or are perceived by the public market as intending to sell substantial numbers of shares of Class A common stock, the trading price of Class A common stock could decline below the initial public offering price. As of March 19, 2018, only the shares of Class A common stock sold in the IPO and an additional 113,984 shares not subject to lock-up agreements were freely tradable in the public market. Holders of substantially all of the outstanding shares of Class A common stock, including all of our officers and directors, have entered into contractual lock-up agreements with the underwriters of the IPO pursuant to which they have agreed, subject to certain exceptions, not to sell or otherwise transfer any of their common stock or securities convertible into or exchangeable for shares of common stock until May 2, 2018. We and the lead underwriter in the IPO may, however, permit these holders to sell shares prior to the expiration of the lock-up agreements with the underwriters. On May 2, 2018, up to 13,169,506 shares of Class A common stock will be eligible for sale in the public market, including 9,600,558 shares held by directors, executive officers and other affiliates that will be subject to volume and other limitations under Rule 144 under the Securities Act.
 
Delaware law and provisions in our restated charter and bylaws could make a merger, tender offer or proxy contest difficult, thereby depressing the trading price of Class A common stock.
 
Our status as a Delaware corporation and the anti-takeover provisions of the Delaware General Corporation Law may discourage, delay, or prevent a change in control by prohibiting us from engaging in a business combination with an interested stockholder for a period of three years after the person becomes an interested stockholder, even if a change of control would be beneficial to our existing stockholders. Our restated charter and bylaws contain provisions that may make the acquisition of our company more difficult, including the following:
 
● 
our dual class common stock structure provides holders of Class B common stock with the ability to control the outcome of matters requiring stockholder approval, even if they own significantly less than a majority of the total number of outstanding shares of Class A and Class B common stock;
 
● 
when the outstanding shares of Class B common stock represent less than a majority of the combined voting power of common stock:
 
● 
amendments to our restated charter or bylaws will require the approval of two-thirds of the combined vote of our then-outstanding shares of Class A and Class B common stock;
 
● 
vacancies on the board of directors will be able to be filled only by the board and not by stockholders;
 
● 
the board, which currently is not staggered, will be automatically separated into three classes with staggered three-year terms;
 
 
● 
directors will only be able to be removed from office for cause; and
 
● 
our stockholders will only be able to take action at a meeting and not by written consent;
 
● 
only our chair, our chief executive officer or a majority of our directors is authorized to call a special meeting of stockholders;
 
● 
advance notice procedures apply for stockholders to nominate candidates for election as directors or to bring matters before an annual meeting of stockholders;
 
● 
our restated charter authorizes undesignated preferred stock, the terms of which may be established, and shares of which may be issued, without stockholder approval; and
 
● 
cumulative voting in the election of directors is prohibited.
 
As a Delaware corporation, we are also subject to provisions of Delaware law, including Section 203 of the Delaware General Corporation Law, which limits the ability of stockholders holding more than 15% of our outstanding voting stock from engaging in certain business combinations with us. Any provision of our charter or bylaws or Delaware law that has the effect of delaying or deterring a change in control could limit the opportunity for our stockholders to receive a premium for their shares of Class A common stock, and could also affect the price that some investors are willing to pay for Class A common stock.
 
Our restated charter designates the Court of Chancery of the State of Delaware as the sole and exclusive forum for certain litigation that may be initiated by our stockholders, which could limit our stockholders’ ability to obtain a favorable judicial forum for disputes with us or our directors, officers or stockholders.
 
Our restated charter provides that the Court of Chancery of the State of Delaware will, to the fullest extent permitted by law, be the sole and exclusive forum for:
 
● 
any derivative action or proceeding brought on our behalf;
 
● 
any action asserting a claim of breach of a fiduciary duty owed to us, our stockholders, creditors or other constituents by any of our directors, officers, other employees, agents or stockholders;
 
● 
any action asserting a claim arising under the Delaware General Corporation Law, our charter or bylaws, or as to which the Delaware General Corporation Law confers jurisdiction on the Court of Chancery of the State of Delaware; or
 
● 
any action asserting a claim that is governed by the internal affairs doctrine.
 
By becoming a stockholder in our company, you will be deemed to have notice of and have consented to the provisions of our restated charter related to choice of forum. The choice of forum provision in our restated charter may limit our stockholders’ ability to obtain a favorable judicial forum for disputes with us or any of our directors, officers, other employees, agents or stockholders, which may discourage lawsuits with respect to such claims. Alternatively, if a court were to find the choice of forum provision contained in our restated charter to be inapplicable or unenforceable in an action, we may incur additional costs associated with resolving such action in other jurisdictions, which could harm our business, results of operations and financial condition.
 
Our management team has limited experience managing a public company.
 
Prior to the IPO, none of the current members of our management team had experience managing a publicly traded company, interacting with public company investors and complying with the increasingly complex laws pertaining to public companies. Our management team may not successfully or efficiently manage our transition to being a public company subject to significant regulatory oversight and reporting obligations under the federal securities laws and the scrutiny of securities analysts and investors. These new obligations and constituents will require significant attention from our management team and could divert their attention away from the day-to-day management of our business, which could materially adversely affect our business, financial condition and operating results.
 
We are currently an “emerging growth company,” and the reduced disclosure requirements applicable to emerging growth companies may make Class A common stock less attractive to investors.
 
We are currently an “emerging growth company,” as defined in the Jumpstart Our Business Startups Act. For so long as we remain an emerging growth company, we are permitted, and intend, to rely on exemptions from certain disclosure requirements that are applicable to other public companies that are not emerging growth companies. These exemptions include reduced disclosure obligations regarding executive compensation and exemptions from the requirements of holding a non-binding advisory vote on executive compensation and stockholder approval of any golden parachute payments not previously approved, not being required to comply with the auditor attestation requirements of Section 404 of the Sarbanes-Oxley Act and not being required to comply with any requirement that may be adopted by the PCAOB regarding mandatory audit firm rotation or a supplement to the auditor’s report providing additional information about the audit and the financial statements. We cannot predict whether investors will find the Class A common stock less attractive if we rely on these exemptions. If some investors find the Class A common stock less attractive as a result, there may be a less active trading market, and more volatile trading price, for Class A common stock.
 
 
We will incur increased costs and demands upon management as a result of complying with the laws and regulations affecting public companies, particularly after we are no longer an “emerging growth company,” which could adversely affect our business, operating results and financial condition.
 
As a public company, and particularly after we cease to be an “emerging growth company,” we will continue to incur significant legal, accounting and other expenses. We are subject to the reporting requirements of the Securities and Exchange Act, the Sarbanes-Oxley Act, the Dodd-Frank Wall Street Reform and Consumer Protection Act, and the rules and regulations of Nasdaq. These requirements have increased and will continue to increase our legal, accounting and financial compliance costs and have made and will continue to make some activities more time consuming and costly. For example, we expect these rules and regulations to make it more difficult and more expensive for us to obtain director and officer liability insurance, and we may be required to accept reduced policy limits and coverage or incur substantially higher costs to maintain the same or similar coverage. As a result, it may be more difficult for us to attract and retain qualified individuals to serve as our executive officers or on the board of directors, particularly to serve on the audit and compensation committees.
 
The Sarbanes-Oxley Act requires, among other things, that we assess the effectiveness of our internal control over financial reporting annually and the effectiveness of our disclosure controls and procedures quarterly. In particular, beginning with respect to the year ending December 31, 2018, Section 404 of the Sarbanes-Oxley Act, or Section 404, will require our management to perform system and process evaluation and testing to allow it to report on the effectiveness of our internal control over financial reporting.
 
We are currently evaluating our internal controls, identifying and remediating deficiencies in those internal controls and documenting the results of our evaluation, testing and remediation. Please see “—Our management and auditors identified a material weakness in our internal control over financial reporting that, if not properly remediated, could result in material misstatements in our consolidated financial statements that could cause investors to lose confidence in our reported financial information and have a negative effect on the trading price of our stock.”
 
Investor perceptions of our company may suffer if deficiencies are found, which could cause a decline in the market price of our stock. Irrespective of compliance with Section 404, any failure of our internal control over financial reporting could have a material adverse effect on our stated operating results and harm our reputation. If we are unable to implement these requirements effectively or efficiently, it could harm our operations, financial reporting, or financial results and could result in an adverse opinion on our internal controls from our independent registered public accounting firm.
 
In addition, changing laws, regulations and standards relating to corporate governance and public disclosure are creating uncertainty for public companies, increasing legal and financial compliance costs and making some activities more time consuming. These laws, regulations and standards are subject to varying interpretations, in many cases due to their lack of specificity, and, as a result, their application in practice may evolve over time as new guidance is provided by regulatory and governing bodies. This could result in continuing uncertainty regarding compliance matters and higher costs necessitated by ongoing revisions to disclosure and governance practices. We intend to invest resources to comply with evolving laws, regulations and standards, and this investment may result in increased general and administrative expense and a diversion of management’s time and attention from revenue-generating activities to compliance activities. If our efforts to comply with new laws, regulations and standards differ from the activities intended by regulatory or governing bodies, regulatory authorities may initiate legal proceedings against us and our business may be harmed.
 
 
I tem 1B: Unresolved Staff Comments
 
None.
 
I tem 2: Properties
 
We have occupied our current corporate headquarters in Fremont, California, since February 2008, under a lease that, as amended in March 2017, extends through March 2019. We conduct our research and development and manufacturing and service support operations in a facility of approximately 60,000 square feet, of which 36,000 square feet is dedicated to manufacturing, located in the Zhangjiang Hi­Tech Park in Shanghai, PRC. We have leased this facility since 2007. The lease terms and its payment terms are subject to modification and extension with Zhangjiang Group from time to time. The lease with Zhangjiang Group expired on December 31, 2017 and we are now leasing the property on a month-­to-­month basis as we negotiate the terms of the lease. In addition, we provide sales support and customer service operations from leased office space in Jiangying, PRC, Wuxi, PRC, and Icheon, Korea.
 
I tem 3: Legal Proceedings
 
From time to time we may become involved in legal proceedings or may be subject to claims arising in the ordinary course of our business. Although the results of litigation and claims cannot be predicted with certainty, we currently believe that the final outcome of these ordinary course matters will not have a material adverse effect on our business, operating results, financial condition or cash flows. Regardless of the outcome, litigation can have an adverse impact on us because of defense and settlement costs, diversion of management resources and other factors.
 
I tem 4: Mine Safety Disclosures
 
Not applicable.
 
P ART II
 
I tem 5: Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities
 
Information Regarding the Trading of Common Stock

The Class A common stock has traded on NASDAQ Global Market under the symbol “ACMR” since November 3, 2017. Prior to that time, there was no public market for the Class A common stock. The table below lists the high and low sales prices of the Class A common stock , as reported by the Nasdaq Global Market .
 
 
 
High
 
 
Low
 
Fourth Quarter 2017 (from November 3, 2017)
  $ 8.48  
  $ 4.91  
 
The Class B common stock is not listed or traded on any stock exchange.

Holders of Common Stock
 
As of March 19, 2018, there were 136 holders of record of shares of Class A common stock and 58 holders of record of shares of Class B common stock. The actual number of holders of Class A common stock is substantially greater and includes stockholders who are beneficial owners and whose shares are held of record by banks, brokers, and other financial institutions.
 
 
Dividends
 
We have never declared or paid cash dividends on our capital stock. We intend to retain all available funds and any future earnings to support the operation of and to finance the growth and development of our business and do not anticipate paying any cash dividends in the foreseeable future. Any future determination to declare cash dividends on Class A and Class common stock will be made at the discretion of the board of directors and will depend on various factors, including our results of operations, financial condition, liquidity requirements, capital requirements, level of indebtedness, contractual restrictions with respect to payment of dividends, restrictions imposed by applicable law, general business conditions and other factors that the board may deem relevant.
 
Our ability to pay dividends on Class A and Class B common stock depends significantly on our receiving distributions of funds from our subsidiaries in the PRC. PRC statutory laws and regulations permit payments of dividends by those subsidiaries only out of their retained earnings, which are determined in accordance with PRC accounting standards and regulations that differ from U.S. generally accepted accounting principles. The PRC regulations and our subsidiaries’ articles of association require annual appropriations of 10% of net after-tax profits to be set aside, prior to payment of dividends, as a reserve or surplus fund, which restricts our subsidiaries’ ability to transfer a portion of their net assets to us. As of December 31, 2017, no cash was restricted under those PRC regulations or our subsidiaries’ articles because our subsidiaries had never generated net after-tax profits. In addition, our subsidiaries’ short-term bank loans restrict their ability to pay dividends to us.
 
Securities Authorized for Issuance Under Equity Compensation Plans
 
The information required by this item will be set forth in the definitive proxy statement we will file in connection with our 2018 Annual Meeting of Stockholders and is incorporated by reference herein.
 
Sales of Unregistered Securities
 
Set forth below is information regarding the shares of capital stock and options granted by us in 2017 that were not registered under the Securities Act of 1933.
 
(1) 
We granted stock options to purchase an aggregate of 336,671 shares of Class A common stock, with exercise prices ranging from $5.60 to $7.50 per share.
 
(2) 
We issued an aggregate of 472,889 shares of Class A common stock pursuant to the exercise of stock options at per share exercise prices ranging from $0.75 to $3.00.
 
(3) 
In March 2017 we issued a warrant to acquire 397,502 shares of Class A common stock for an aggregate purchase price of $2,981,265.
 
(4) 
In March 2017 we issued 4,998,508 shares of Series E convertible preferred stock for an aggregate purchase price of $5,800,000.
 
(5) 
In August 2017 we issued 1,119,576 shares of Class A common stock for an aggregate purchase price of $8,396,820.
 
(6) 
In August 2017 we issued 787,098 shares of Class A common stock for an aggregate purchase price of $5,903,235.
 
(7) 
In September 2017 we issued 133,334 shares of Class A common stock for an aggregate purchase price of $1,000,000.
 
(8) 
In November 2017 we issued 1,333,334 shares of Class A common stock in a concurrent private placement at a price of $5.60 per share.
 
(9) 
In November 2017 we issued warrants to acquire an aggregate of 80,000 shares of Class A common stock for an aggregate purchase price of $492,800.
 
The offers, sales, grants and issuances of the securities described in paragraphs (1) and (2) were deemed to be exempt from registration under the Securities Act of 1933 in reliance on Rule 701. The recipients of such securities were our employees, officers, directors, bona fide consultants and advisors and received the securities under our 1998 Stock Option Plan, written compensation contracts or our 2016 Omnibus Incentive Plan. Appropriate legends were affixed to the securities issued in these transactions. Each of the recipients of securities in these transactions had adequate access, through employment, business or other relationships, to information about us.
 
 
 
The offer, sale and issuance of the securities described in paragraphs (3) through (9) were deemed to be exempt from registration under the Securities Act in reliance on Section 4(a)(2) of the Securities Act in that the issuance of the securities to the accredited investors did not involve a public offering. The recipients of the securities in these transactions acquired the securities for investment only and not with a view to or for sale in connection with any distribution thereof, and appropriate legends were affixed to the securities issued in these transactions. The recipients of the securities in these transactions were accredited investors under Rule 501 of Regulation D.
 
Use of Proceeds
 
The Registration Statement on Form S-1 (File No. 333- 220451) for the IPO was declared effective by the SEC on November 2, 2017. Shares of Class A common stock began trading on the Nasdaq Global Market on November 3, 2017.
 
The underwriters of the IPO were Roth Capital Partners, LLC, Craig-Hallum Capital Group LLC and The Benchmark Company, LLC. The offering commenced on November 2, 2017 and did not terminate until the sale of all of the shares offered.
 
We paid to the underwriters of the IPO underwriting discounts and commissions totaling $841,036 in connection with the sale of 2,233,000 shares of Class A common stock. In addition, we incurred expenses of $1.9 million which, when added to the underwriting discounts and commissions, amounted to total expenses of $2.7 million. As a result, the IPO net proceeds, after deducting underwriting discounts and commissions and offering expenses, were $17.3 million. No offering expenses were paid directly or indirectly to any of our directors or officers (or their associates) or persons owning 10.0% or more of any class of our equity securities or to any other affiliates.
 
There has been no material change in the planned use of IPO proceeds from that described in the final prospectus filed with the Securities and Exchange Commission pursuant to Rule 424(b)(4) under the Securities Act of 1933 on November 3, 2017.
 
To date we have applied $7.8 million of the proceeds to purchase inventories and $1.0 million in our normal course of business operations.
 
Purchases of Equity Securities by the Issuer and Affiliated Purchasers
 
None.
 
Transfer Agent
 
The transfer agent and registrar for the Class A common stock and the Class B common stock is Computershare Trust Company, N.A.
 
I tem 6: Selected Financial Data
 
Not applicable.

 
 
I tem 7: Management’s Discussion and Analysis of Financial Condition and Results of Operations
 
The following discussion and analysis should be read in conjunction with the audited consolidated financial statements and related notes included in this report.  In addition to historical information, the following discussion contains forward-looking statements that involves risks, uncertainties and assumptions. See “Forward-Looking Statements and Statistical Data” at page 4 of this report. Please read “Item1A. Risk Factors” for a discussion of factors that could cause our actual results to differ materially from our expectations.
 
Overview
 
We develop, manufacture and sell single-wafer wet cleaning equipment, which semiconductor manufacturers can use in numerous manufacturing steps to remove particles, contaminants and other random defects, and thereby improve product yield, in fabricating advanced integrated circuits, or chips. Our Ultra C equipment is designed to remove random defects from a wafer surface effectively, without damaging a wafer or its features, even at an increasingly advanced process node (the minimum line width on a chip) of 22 nanometers, or nm, or less. Our equipment is based on our innovative, proprietary Space Alternated Phase Shift, or SAPS, and Timely Energized Bubble Oscillation, or TEBO, technologies. We developed our proprietary technologies to enable manufacturers to produce chips that reach their ultimate physical limitations while maintaining product yield, which is the percentage of chips on a wafer that meet manufacturing specifications
 
We seek to market our wet processing equipment by first establishing a referenceable base of leading logic and memory chip makers, whose use of our products can influence decisions by other manufacturers. We believe this process will help us to penetrate the mature integrated circuit manufacturing markets and to build credibility with industry leaders. We have placed evaluation SAPS equipment with selected memory and logic chip customers since 2009 and recognized revenue from SAPS equipment since 2011. Using a similar “demo-to-sales” process, we began placing TEBO evaluation equipment with selected customers in 2016 and recognized revenue from our initial sale of TEBO equipment in December 2016. As of December 31, 2017, we had sold and deployed more than 30 single-wafer wet cleaning tools. We recognized revenue from the selected customers’ purchases of single-wafer wet cleaning equipment totaling $27.1 million, or 74.2% of our revenue, in 2017 and $21.5 million, or 78.4% of our revenue, in 2016.
 
We market and sell our products worldwide using a combination of our direct sales force and third-party representatives. We employ direct sales teams in Asia, Europe and North America, and have located these teams near our customers, primarily in the People’s Republic of China, or PRC, Korea, Taiwan and the United States. To supplement our direct sales teams, we have contacts with several independent sales representatives in the PRC, Taiwan and Korea. We also provide after-sales services to our customers by installing new replacement parts as well as making small scale modifications to improve our customers’ product yields.
 
We established our operational center in Shanghai in 2006 to help us establish and build relationships with chip manufacturers in China and throughout Asia. In addition to our SAPS and TEBO tools, we offer a range of custom-made wafer assembly and packaging equipment, such as coaters and developers, to wafer assembly and packaging factories, principally in the PRC.
 
Corporate Background
 
ACM Research incorporated in California in 1998 and redomesticated to Delaware in November 2016. Key events in our corporate development have included:
 
● 
Initially we focused on developing tools for semiconductor manufacturing process steps involving the integration of ultra-low-K materials and copper. In the early 2000s, we sold tools based on stress-free copper-polishing technology.
 
● 
In 2006 we moved our operational center to Shanghai, where we began to conduct our business through our subsidiary ACM Shanghai. This move was made to help us establish and build relationships with chip manufacturers in the PRC. We have financed our operations in part through the sale of minority equity interests in ACM Shanghai.
 
● 
In 2007 we began to focus our development efforts on single-wafer wet-cleaning solutions for the front-end chip fabrication process.
 
 
● 
In 2008 ACM Shanghai received an initial grant from local and central governmental authorities in the PRC. The grant relates to the development and commercialization of 65nm to 45nm stress-free polishing technology.
 
● 
In 2009 we introduced SAPS megasonic technology, which can be applied in wet wafer cleaning at numerous steps during the chip fabrication process.
 
● 
In 2011 ACM Shanghai formed a wholly owned subsidiary in the PRC, ACM Research (Wuxi), Inc., to manage sales and service operations.
 
● 
In 2014 ACM Shanghai received an additional grant from local and central governmental authorities in the PRC. The grant relates to the development of electro copper-plating technology.
 
● 
In March 2016 we introduced TEBO technology, which can be applied at numerous steps during the fabrication of small node conventional two-dimensional and three-dimensional patterned wafers.
 
● 
In March and August 2017, we entered into agreements pursuant to which we will acquire all of the outstanding minority equity interests in ACM Shanghai by no later than December 31, 2017, as described below under “—Recent Equity Transactions—Acquisition of Outstanding Minority Interests in Our Operating Company.”
 
● 
In June 2017 we formed a wholly owned subsidiary in Hong Kong, CleanChip Technologies Limited, to act on our behalf in Asian markets outside the PRC by, for example, serving as a trading partner between ACM Shanghai and its customers, procuring raw materials and components, performing sales and marketing activities, and making strategic investments.
 
● 
In September 2017, ACM effectuated a 1-for-3 reverse stock split, or the Reverse Split, of Class A and Class B common stock. Unless otherwise indicated, all share numbers, per share amount, share prices, exercise prices and conversion rates set forth in those notes and the accompanying condensed consolidated financial statements have been adjusted retrospectively to reflect the Reverse Split.
 
● 
In November 2017 ACM issued 2,233,000 shares of Class A common stock and received net proceeds of $11,664,000 from our initial public offering, or the IPO, and concurrently ACM issued additional 1,333,334 shares of Class A common stock through a private placement for net proceeds of $7,053,000.
 
Recent Equity Transactions
 
Issuance of Warrant
 
In December 2016 Shengxin (Shanghai) Management Consulting Limited Partnership, or SMC, delivered to our subsidiary ACM Shanghai 20,123,500 RMB (approximately $3.0 million as of the date of funding) in cash, which we refer to as the SMC Investment, for potential investment pursuant to terms to be subsequently negotiated. SMC is a PRC limited partnership owned by Jian Wang, our Vice President, Research and Development and the brother of our Chair of the Board, Chief Executive Officer and President, David H. Wang, and other employees of ACM Shanghai. In March 2017 we issued to SMC a warrant exercisable to purchase 397,502 shares of Class A common stock at a price of $7.50 per share, for a total exercise price of approximately $3.0 million. The warrant may be exercised for cash or on a cashless basis, at the option of SMC, at any time on or before May 17, 2023 to acquire all, but not less than all, of the shares of Class A common stock subject to the warrant.
 
● 
If SMC does not exercise the warrant by May 17, 2023, ACM Shanghai will be obligated, subject to approval of PRC governmental authorities and ACM Shanghai’s equity holders, to deliver an equity interest of 3.6394% (subject to dilution) in satisfaction of the SMC Investment.
 
● 
If SMC exercises the warrant or SMC does not exercise the warrant and the issuance of the equity interest in ACM Shanghai is not completed by August 17, 2023 due to the inability of the parties to obtain required governmental or equity holder approvals, then ACM Shanghai will be obligated to pay to SMC, in satisfaction of the SMC Investment, an amount equal to approximately $3.0 million.
 
In connection with the completion of IPO on November 2, 2017, we issued a five-year warrant to Roth Capital Partners, LLC, the Company's IPO underwriter, up to 80,000 shares ("Underwriter's Warrant) of the Company's Class A common stock at the exercise price of $6.16. The Underwriter's Warrant is immediately exercisable and expires on November 1, 2022.  
 
 
Acquisition of Outstanding Minority Interests in Our Operating Company
 
Until August 31, 2017, ACM Research owned 62.87% of the outstanding equity interests in ACM Shanghai and three PRC-based third-party investors held the remaining 37.13% of equity interests, which were reflected as “non-controlling interests” in our consolidated balance sheets and related notes. We took the following actions in order to enable ACM Research to acquire, consistent with requirements of arrangements previously entered into in connection with the investors’ acquisition of ACM Shanghai equity interests, the outstanding non-controlling interests in ACM Shanghai:
 
In March 2017 we entered into a securities purchase agreement with Shanghai Science and Technology Venture Capital Co., Ltd., or SSTVC, which held 18.77% of the ACM Shanghai equity interests. Pursuant to that agreement, effective as of August 31, 2017, we (a) acquired, for a purchase price of $5.8 million, SSTVC’s equity interests in ACM Shanghai and (b) issued to SSTVC, for a purchase price of $5.8 million, shares of Series E preferred stock that has converted, upon the closing of the IPO, into 1,666,170 shares of Class A common stock, at an effective purchase price of $3.48 per share.
 
In August 2017 we entered into a securities purchase agreement with Shanghai Pudong High-Tech Investment Co., Ltd., or PDHTI, and its subsidiary Pudong Science and Technology (Cayman) Co., Ltd., or PST, pursuant to which we (a) submitted the winning bid, in an auction process mandated by PRC regulations, to purchase PDHTI’s 10.78% equity interests in ACM Shanghai , which we completed on November 8, 2017, and (b) issued to PST, on September 8, 2017, 1,119,576 shares of Class A common stock for a purchase price of $7.50 per share, representing an aggregate purchase price of $8.4 million.
 
In August 2017 we entered into a securities purchase agreement with Shanghai Zhangjiang Science & Technology Venture Capital Co., Ltd., or ZSTVC, and its subsidiary Zhangjiang AJ Company Limited, or ZJAJ, pursuant to which we (a) submitted the winning bid, in an auction process mandated by PRC regulations, to purchase ZSTVC’s 7.58% equity interests in ACM Shanghai , which we completed on November 8, 2017, and (b) issued to ZJAJ, on September 8, 2017, 787,098 shares of Class A common stock for a purchase price of $7.50 per share, or an aggregate purchase price of $5.9 million.
 
Since November 8, 2017, ACM Research has owned all of the outstanding equity interests in ACM Shanghai.
 
Strategic Investment in Key Supplier
 
Ninebell Co., Ltd., or Ninebell, which is located in Seoul, Korea, is the principal supplier of robotic delivery system subassemblies used in our single-wafer cleaning equipment. On September 6, 2017 we and Ninebell entered into:
 
● 
an ordinary share purchase agreement, effective as of September 11, 2017, pursuant to which, contemporaneously with signing, Ninebell issued to us, for a purchase price of $1.2 million, ordinary shares representing 20% of Ninebell’s post-closing equity; and
 
● 
a common stock purchase agreement, effective as of September 11, 2017, pursuant to which, contemporaneously with signing, we issued 133,334 shares of Class A common stock to Ninebell for a purchase price of $7.50 per share, or an aggregate purchase price of $1.0 million.
 
In addition, under the ordinary share purchase agreement, Ninebell granted us a preemptive right for all future issuances of equity-related securities by Ninebell and the founder of Ninebell, who is the only other equity holder of Ninebell, granted us a right of first refusal with respect to any future sales of his equity securities.
 
Key Components of Results of Operations
 
Revenue
 
We develop, manufacture and sell single-wafer wet cleaning equipment and custom-made wafer assembly and packaging equipment. Because we currently sell our capital equipment, or tools, to a small number of customers and we customize those tools to fulfill the customers’ specific requirements, our revenue generation fluctuates, depending on the length of the sales, development and evaluation phases:
 
 
Sales and Development . During the sale process we may, depending on a prospective customer’s specifications and requirements, need to perform additional research, development and testing to establish that a tool can meet the prospective customer’s requirements. We then host an in-house demonstration of the customized tool prototype. Sales cycles for orders that require limited customization and do not require that we develop new technology usually take from 6 to 12 months, while the product life cycle, including the initial design, demonstration and final assembly phases, for orders requiring development and testing of new technologies can take as long as 2 to 4 years. As we expand our customer base, we expect to gain more repeat purchase orders for tools that we have already developed and tested, which will eliminate the need for a demonstration phase and shorten the development cycle.
 
Evaluation Periods . When a chip manufacturer proposes to purchase a particular type of tool from us for the first time, we offer the manufacturer an opportunity to evaluate the tool for a period that can extend for 24 months or longer. We do not receive any payment on first-time purchases until the tool is accepted. As a result, we may spend between $1.0 and $2.0 million to produce a tool without receiving payment for more than 24 months or, if the tool is not accepted, without receiving any payment. Please see “Item 1A. Risk Factors—Risks Related to Our Business and Our Industry—We may incur significant expenses long before we can recognize revenue from new products, if at all, due to the costs and length of research, development, manufacturing and customer evaluation process cycles.”
 
Purchase Orders . In accordance with industry practice, sales of our tools are made pursuant to purchase orders. Each purchase order from a customer for one of our tools contains specific technical requirements intended to ensure, among other things, that the tool will be compatible with the customer’s manufacturing process line. Until a purchase order is received, we do not have a binding purchase commitment. Our SAPS and TEBO customers to date have provided us with non-binding one- to two-year forecasts of their anticipated demands, and we expect future customers to furnish similar non-binding forecasts for planning purposes. Any of those forecasts would be subject to change, however, by the customer at any time, without notice to us.
 
Fulfillment . We seek to obtain a purchase order for a tool from three to four months in advance of the expected delivery date. Depending upon the nature of a customer’s specifications, the lead time for production of a tool generally will extend from two to four   months. The lead-time can be as long as six months, however, and in some cases we may need to begin producing a tool based on a customer’s non-binding forecast, rather than waiting to receive a binding purchase order.
 
We expect our sales prices generally to range between $2.5 million and $5.0 million for SAPs tools and between $3.5 million and $6.5 million for TEBO tools. The sales price of a particular tool will vary depending upon the required specifications. We have designed equipment models using a modular configuration that we customize to meet customers’ technical specifications. For example, our Ultra C models for SAPS and TEBO solutions use modular configurations that enable us to create a wet-cleaning tool meeting a customer’s specific requirements, while using pre-existing designs for chamber, electrical, chemical delivery and other modules.
 
Because of the relatively large purchase prices of our tools, customers generally pay in installments. For a customer’s repeat purchase of a particular type of tool, the specific payment terms are negotiated in connection with acceptance of a purchase order. Based on our limited experience with repeat sales of SAPS and TEBO tools, we expect that we will receive an initial payment upon delivery of a tool in connection with a repeat purchase, with the balance being paid once the tool has been tested and accepted by the customer. Our sales arrangements for repeat purchases do not include a general right of return.
 
Since introducing SAPS technology in 2009, we have focused on selling SAPS-based tools and, beginning in 2016, TEBO-based tools. Our revenue from sales of single-wafer wet cleaning equipment totaled $27.1 million, or 74.2% of our revenue, in 2017 and $21.5 million, or 78.4% of our revenue, in 2016.
 
We have generated most of our revenue from a limited number of customers as the result of our strategy of initially placing SAPS- and TEBO-based equipment with a small number of leading chip manufacturers that are driving technology trends and key capability implementation. In 2017, 55.2% of our revenue was derived from four customers: SK Hynix Inc., a leading Korean memory chip company that accounted for 18.1% of our revenue; Shanghai Huali Integrated Circuit Research and Development Center Ltd., a public research consortia for the Chinese semiconductor industry that accounted for 14.1% of our revenue; JiangYin ChangDian Advanced Packaging Co. Ltd., a leading PRC foundry that accounted for 12.8% of our revenue; and Yangtze Memory Technologies Co., Ltd., a leading PRC memory chip company that, together with one of its subsidiaries, accounted for 10.2% of our revenue. In 2016 99.3% of our revenue was derived from four customers: Shanghai Huali Microelectronics Corporation, which accounted for 33.7% of our revenue; Semiconductor Manufacturing International Corporation, a leading PRC foundry that accounted for 25.0% of our revenue; SK Hynix Inc., which accounted for 24.0% of our revenue; and JiangYin ChangDian Advanced Packaging Co. Ltd., a leading PRC foundry that accounted for 16.6% of our revenue.
 
 
Based on our market experience, we believe that implementation of our equipment by one of our selected leading companies will attract and encourage other manufacturers to evaluate our equipment, because the leading company’s implementation will serve as validation of our equipment and will enable the other manufacturers to shorten their evaluation processes. We placed our first SAPS-based tool in 2009 as a prototype. We worked closely with the customer for two years in debugging and modifying the tool, and the customer then spent two more years of qualification and running pilot production before beginning volume manufacturing. We expect that the period from new product introduction to high volume manufacturing will be three years or less in the future. Please see “Item 1A. Risk Factors—Business—We depend on a small number of customers for a substantial portion of our revenue, and the loss of, or a significant reduction in orders from, one or more of our major customers could have a material adverse effect on our revenue and operating results. There are also a limited number of potential customers for our products.”
 
All of our sales in 2016 and 2017 were to customers located in Asia, and we anticipate that a substantial majority of our revenue will continue to come from customers located in this region for the near future. We have increased our sales efforts to penetrate the markets in North America and Western Europe.
 
We utilize the guidance set forth in the FASB’s ASC Topic 605, Revenue Recognition , regarding the recognition, presentation and disclosure of revenue in our financial statements. We recognize revenue when: persuasive evidence of an arrangement exists; delivery has occurred and the major risks and remunerations of ownership have been transferred to the customer; collectability is probable; and the selling price is fixed or determinable, as described below under “—Critical Accounting Policies and Significant Judgments and Estimates—Revenue Recognition.”
 
We offer extended maintenance service contracts to provide services such as trouble-shooting or fine-tuning tools, and installing spare parts, following expiration of applicable initial warranty coverage periods, which for sales to date have extended from 12 to 36 months as described under “—Critical Accounting Policies and Significant Judgments and Estimates—Warranty.” A limited number of the single-wafer wet cleaning tools we have sold to date are no longer covered by their initial warranties. In 2016 and 2017, we received payments for parts and labor for service activities provided from time to time, but as of December 31, 2017 we had not yet entered into extended maintenance service contracts with respect to any of the tools for which initial warranty coverage had expired. We expect to enter into extended maintenance service contracts with customers as additional initial warranties expire, but we do not expect revenue from extended maintenance service contracts to represent a material portion of our revenue in the future.
 
The loss or delay of one or more large sale transactions in a quarter could impact our results of operations for that quarter and any future quarters for which revenue from that transaction is lost or delayed, as described under “Item 1A. Risk Factors—Risks Related to Our Business and Our Industry—Our quarterly operating results can be difficult to predict and can fluctuate substantially, which could result in volatility in the price of Class A common stock.” It is difficult to predict accurately when, or even if, we can complete a sale of a tool to a potential customer or to increase sales to any existing customer. Our tool demand forecasts are based on multiple assumptions, including non-binding forecasts received from customers years in advance, each of which may introduce error into our estimates. Difficulties in forecasting demand for our tools make it difficult for us to project future operating results and may lead to periodic inventory shortages or excess spending on inventory or on tools that may not be purchased, as further described in “Item 1A. Risk Factors—Risks Related to Our Business and Our Industry—Difficulties in forecasting demand for our tools may lead to periodic inventory shortages or excess spending on inventory items that may not be used.”
 
Cost of Revenue
 
Cost of revenue for capital equipment consists primarily of:
 
● 
direct costs, which consist principally of costs of tool components and subassemblies purchased from third-party vendors;
 
● 
compensation of personnel associated with our manufacturing operations, including stock-based compensation;
 
● 
depreciation of manufacturing equipment;
 
● 
amortization of costs of software used for manufacturing purposes;
 
● 
other expenses attributable to our manufacturing department; and
 
● 
allocated overhead for rent and utilities.
 
We are not party to any long-term purchasing agreements with suppliers. Please see “Item 1A. Risk Factors—Risks Related to Our Business and Our Industry—Our customers do not enter into long-term purchase commitments, and they may decrease, cancel or delay their projected purchases at any time.”
 
As our customer base and tool installations continue to grow, we will need to hire additional manufacturing personnel. The rates at which we add customers and install tools will affect the level and time of this spending. In addition, because we often import components and spare parts from the United States, we have experienced, and expect to continue to experience, the effect of the dollar’s growth on our cost of revenue.
 
 
Gross Margin
 
Our gross margin was 47.2% in 2017 and 48.7% in 2016. Gross margin may vary from period to period, primarily related to the level of utilization and the timing and mix of purchase orders. We expect gross margin to be between 40% and 45% for the foreseeable future, with direct manufacturing costs approximating 50% to 55% of revenue and overhead costs totaling approximately 5% of revenue. The higher margin in 2016 and 2017 were primarily due to two systems manufactured under governmental subsidies (see “—PRC Government Research and Development Funding” below), which were sold for $1.8 million and $3.7 million in 2016. Costs associated with these systems were recorded as research and development expenses as these systems were research and development in nature and had not reached the final product manufacture stage. The related research and development expense was recorded as reduction of our research and development expense as incurred.
 
We seek to maintain our gross margin by continuing to develop proprietary technologies that avoid pricing pressure for our wet cleaning equipment. We actively manage our operations through principles of operational excellence designed to ensure continuing improvement in the efficiency and quality of our manufacturing operations by, for example, implementing factory constraint management and change control and inventory management systems. In addition, our purchasing department actively seeks to identify and negotiate supply contracts with improved pricing to reduce cost of revenue.
 
A significant portion of our raw materials are denominated in Renminbi, or RMB, while the majority of our purchase orders are denominated in U.S. dollars. As a result, currency exchange rates may have a significant effect on our gross margin. For further information, please see “Exchange Rate Information.”
 
Operating Expenses
 
We have experienced, and expect to continue to experience, growth in the dollar amount of our operating expenses, as we make investments to support the anticipated growth of our customer base and the continued development of proprietary technologies. As we continue to grow our business, we expect operating expenses to increase in absolute dollars.
 
Sales and Marketing
 
Sales and marketing expense accounted for 15.1% of our revenue in 2017 and 14.3% of our revenue in 2016. Sales and marketing expense consists primarily of:
 
● 
compensation of personnel associated with pre- and after-sales support and other sales and marketing activities, including stock-based compensation;
 
● 
sales commissions paid to independent sales representatives;
 
● 
fees paid to sales consultants;
 
● 
shipping and handling costs for transportation of products to customers;
 
● 
cost of trade shows;
 
● 
travel and entertainment; and
 
● 
allocated overhead for rent and utilities.
 
Sales and marketing expense can be significant and may fluctuate, in part because of the resource-intensive nature of our sales efforts and the length and variability of our sales cycle. The length of our sales cycle, from initial contact with a customer to the execution of a purchase order, is generally 6 to 24 months.
 
During the sales cycle, we expend significant time and money on sales and marketing activities, including educating customers about our tools, participating in extended tool evaluations and configuring our tools to customer-specific needs. Sales and marketing expense in a given period can be particularly affected by the increase in travel and entertainment expenses associated with the finalization of purchase orders or the installation of tools.
 
We expect that, for the foreseeable future, sales and marketing expense will increase in absolute dollars, as we continue to invest in sales and marketing by hiring additional employees and expanding marketing programs in existing or new markets. We must invest in sales and marketing processes in order to develop and maintain close relationships with customers. We are making dollar-based investments in dollars in order to support growth of our customer base in the United States, and the relative strength of the dollar could have a significant effect on our sales and marketing expense.
 
 
Research and Development
 
Research and development expense accounted for 14.1% of our revenue in 2017 and 11.9% of our revenue in 2016. Research and development expense relates to the development of new products and processes and encompasses our research, development and customer support activities. Research and development expense consists primarily of:
 
● 
compensation of personnel associated with our research and development activities, including stock-based compensation;
 
● 
costs of components and other research and development supplies;
 
● 
travel expense associated with customer support;
 
● 
amortization of costs of software used for research and development purposes; and
 
● 
allocated overhead for rent and utilities.
 
Some of our research and development has been funded by grants from the PRC government, as described in “—PRC Government Research and Development Funding” below.
 
We expect that, for the foreseeable future, research and development expense will increase in absolute dollars and will range between 10% and 12% of revenue, as we continue to invest in research and development to advance our technologies. We intend to continue to invest in research and development to support and enhance our existing single-wafer wet cleaning products and to develop future product offerings to build and maintain our technology leadership position.
 
General and Administrative
 
General and administrative expense accounted for 16.1% of our revenue in 2017 and 9.8% of our revenue in 2016. General and administrative expense consists primarily of:
 
● 
compensation of executive, accounting and finance, human resources, information technology, and other administrative personnel, including stock-based compensation;
 
● 
professional fees, including accounting and legal fees;
 
● 
other corporate expenses; and
 
● 
allocated overhead for rent and utilities.
 
We expect that, for the foreseeable future, general and administrative expense will increase in absolute dollars, as we incur additional costs associated with growing our business and operating as a public company.
 
Stock-Based Compensation Expense
 
We grant stock options to employees and non-employee consultants and directors, and we accounts for those stock-based awards in accordance with Accounting Standards Codification, or ASC, Topic 718, Compensation—Stock Compensation and ASC Subtopic 505-50, Equity-Based Payments to Non-Employees , each as adopted by the Financial Accounting Standards Board, or FASB.
 
● 
Stock-based awards granted to employees are measured at the fair value of the awards on the grant date and are recognized as expenses either (a) immediately on grant, if no vesting conditions are required, or (b) using the graded vesting method, net of estimated forfeitures, over the requisite service period. The fair value of stock options is determined using the Black-Scholes valuation model. Stock-based compensation expense, when recognized, is charged to cost of revenue or to the category of operating expense corresponding to the employee’s service function.
 
● 
Stock-based awards granted to non-employees are accounted for at the fair value of the awards at the earlier of (a) the date at which a commitment for performance by the non-employee to earn the awards is reached and (b) the date at which the non-employee’s performance is complete. The fair value of such non-employee awards is re-measured at each reporting date using the fair value at each period end until the vesting date. Changes in fair value between the reporting dates are recognized by the graded vesting method.
 
 
Cost of revenue and operating expenses during the periods presented below have included stock-based compensation as follows:
 
 
2017  
 
 
2016  
 
 
 
(in thousands)
 
Stock-Based Compensation Expense:
 
 
 
 
 
 
Cost of revenue
  $ 21  
  $ 11  
Sales and marketing expense
    53  
    5  
Research and development expense
    50  
    5  
General and administrative expense
    1,499  
    362  
 
  $ 1,623  
  $ 383  
 
We recognized stock-based compensation expense to employees of $ 271,000 in 2017 and $92,000 in 2016. As of December 31, 2017 and 2016, there was $729,000 and $726,000 of total unrecognized employee share-based compensation expense, net of estimated forfeitures, related to unvested share-based awards, which are expected to be recognized over a weighted-average period of 1.72 years and 2.25 years, respectively.
 
We recognized stock-based compensation expense to non-employees of $1.4 million in 2017 and $291,000 in 2016. The fair value of each option granted to a non-employee is re-measured at each period end until the vesting date.
 
PRC Government Research and Development Funding
 
ACM Shanghai has received three grants from local and central governmental authorities in the PRC. The first grant, which was awarded in 2008, relates to the development and commercialization of 65nm to 45nm stress-free polishing technology. The second grant was awarded in 2009 to fund interest expense on short-term borrowings. The most recent grant was made in 2014 and relates to the development of electro copper-plating technology. PRC governmental authorities provide the majority of the funding, although ACM Shanghai is also required to invest certain amounts in the projects.
 
The PRC governmental grants contain certain operating conditions, and we are required to go through a government due diligence process once the project is complete. The grants therefore are recorded as long-term liabilities upon receipt, although we are not required to return any funds we receive. Grant amounts are recognized in our statements of operations and comprehensive income as follows:
 
● 
Government subsidies relating to current expenses are reflected as reductions of those expenses in the periods in which they are reported. Those reductions totaled $3.4 million in  2017 and $6.2 million in 2016.
 
● 
Government subsidies for interest on short-term borrowings are reported as reductions of interest expense in the periods the interest is accrued. Those reductions totaled $0 in 2017 and $99,000 in 2016.
 
● 
Government grants used to acquire depreciable assets are transferred from long-term liabilities to property, plant and equipment when the assets are acquired and then the recorded amounts of the assets are credited to other income over the useful lives of the assets. Related government subsidies recognized as other income totaled $135,000 in 2017 and $127,000 in 2016.
 
Net Income Attributable to Non-Controlling Interests
 
Since 2006 we have conducted our business through our subsidiary ACM Shanghai, and we have financed our operations in part through sale of minority equity interests in ACM Shanghai. From January 1, 2015 to August 31, 2017, ACM Research owned 62.87% of the equity interests of ACM Shanghai and three non-controlling, unrelated investors held the remaining 37.13%. As described above under “—Recent Equity Transactions—Acquisition of Outstanding Minority Interests in Our Operating Company,” ACM Research (a) acquired an additional 18.77% equity interest from one of the minority investors as of August 31, 2017 and (b) acquired the remaining non-controlling interests with the other two minority investors on November 8, 2017.
 
 
How We Evaluate Our Operations
 
We present information below with respect to three measures of financial performance:
 
● 
We define “adjusted EBITDA” as our net income excluding interest expense (net), income tax benefit (expense), depreciation and amortization, and stock-based compensation. We define adjusted EBITDA to also exclude restructuring costs, although we have not incurred any such costs to date.
 
● 
We define “free cash flow” as net cash provided by operating activities less purchases of property and equipment (net of proceeds from disposals) and of intangible assets.
 
● 
We define “adjusted operating income (loss)” as our income (loss) from operations excluding stock-based compensation.
 
These financial measures are not based on any standardized methodologies prescribed by accounting principles generally accepted in the United States, or GAAP, and are not necessarily comparable to similarly titled measures presented by other companies.
 
We have presented adjusted EBITDA, free cash flow and adjusted operating income (loss) because they are key measures used by our management and board of directors to understand and evaluate our operating performance, to establish budgets and to develop operational goals for managing our business. We believe that these financial measures help identify underlying trends in our business that could otherwise be masked by the effect of the expenses that we exclude. In particular, we believe that the exclusion of the expenses eliminated in calculating adjusted EBITDA and adjusted operating income (loss) can provide useful measures for period-to-period comparisons of our core operating performance and that the exclusion of property and equipment purchases from operating cash flow can provide a usual means to gauge our capability to generate cash. Accordingly, we believe that these financial measures provide useful information to investors and others in understanding and evaluating our operating results, enhancing the overall understanding of our past performance and future prospects, and allowing for greater transparency with respect to key financial metrics used by our management in its financial and operational decision-making.
 
Adjusted EBITDA, free cash flow and adjusted operating income (loss) are not prepared in accordance with GAAP, and should not be considered in isolation of, or as an alternative to, measures prepared in accordance with GAAP. There are a number of limitations related to the use of adjusted EBITDA rather than net income (loss), which is the nearest GAAP equivalent. Some of these limitations are:
 
● 
adjusted EBITDA excludes depreciation and amortization and, although these are non-cash expenses, the assets being depreciated or amortized may have to be replaced in the future;
 
● 
we exclude stock-based compensation expense from adjusted EBITDA and adjusted operating income (loss), although (a) it has been, and will continue to be for the foreseeable future, a significant recurring expense for our business and an important part of our compensation strategy and (b) if we did not pay out a portion of our compensation in the form of stock-based compensation, the cash salary expense included in operating expenses would be higher, which would affect our cash position;
 
● 
the expenses and other items that we exclude in our calculation of adjusted EBITDA may differ from the expenses and other items, if any, that other companies may exclude from adjusted EBITDA when they report their operating results;
 
● 
adjusted EBITDA does not reflect changes in, or cash requirements for, working capital needs;
 
● 
adjusted EBITDA does not reflect interest expense, or the requirements necessary to service interest or principal payments on debt;
 
● 
adjusted EBITDA does not reflect income tax expense (benefit) or the cash requirements to pay taxes;
 
● 
adjusted EBITDA does not reflect historical cash expenditures or future requirements for capital expenditures or contractual commitments;
 
● 
although depreciation and amortization charges are non-cash charges, the assets being depreciated and amortized will often have to be replaced in the future, and adjusted EBITDA does not reflect any cash requirements for such replacements; and
 
● 
adjusted EBITDA includes expense reductions and non-operating other income attributable to PRC governmental grants, which may mask the effect of underlying developments in net income (loss), including trends in current expenses and interest expense, and free cash flow includes the PRC governmental grants, the amount and timing of which can be difficult to predict and are outside our control.
 
 
The following table reconciles net income (loss), the most directly comparable GAAP financial measure, to adjusted EBITDA:
 
 
 
Year Ended December 31,
 
 
 
2017
 
 
2016
 
 
 
(in thousands)
 
Adjusted EBITDA Data:
    
Net income (loss)
  $ (872 )
  $ 2,387  
Interest expense, net
    268  
    165  
Income tax expense
    547  
    595  
Depreciation and amortization
    271  
    187  
Stock-based compensation
    1,622  
    383  
Adjusted EBITDA
  $ 1,836  
  $ 3,717  
 
Adjusted EBITDA in 2017, as compared with 2016, reflected a decrease of $3.3 million in net income offset by an increase of $1.2 million in stock-based compensation. We do not exclude from adjusted EBITDA expense reductions and non-operating other income attributable to PRC governmental grants because we consider and incorporate the expected amounts and timing of those grants in incurring expenses and capital expenditures. If we did not receive the grants, our cash expenses therefore would be lower, and our cash position would not be affected, to the extent we have accurately anticipated the amounts of the grants. For additional information regarding our PRC grants, please see “—Key Components of Results of Operations—PRC Government Research and Development Funding.”
 
The following table reconciles net cash provided by operating activities, the most directly comparable GAAP financial measure, to free cash flow:
 
 
 
Year Ended December 31,
 
 
 
2017
 
 
2016
 
 
 
(in thousands)
 
Free Cash Flow Data:
 
 
 
Net cash (used in) provided by operating activities
  $ (8,101 )
  $ (3,702 )
Purchases of property and equipment, net of proceeds from disposals
    (651 )
    (788 )
Purchases of intangible assets
    (115 )
    (22 )
Free cash flow
  $ (8,867 )
  $ (4,512 )
 
Free cash flow in 2017, as compared with 2016, reflected, in addition to the factors driving net cash used by operating activities, (a) increases in accounts receivable offset by increases in accounts payable and (b) increases in stock-based compensation. Consistent with our methodology for calculating adjusted EBITDA, we do not adjust free cash flow for the effects of PRC government subsidies, because we take those subsidies into account in incurring expenses and capital expenditures.
 
Adjusted operating income (loss) excludes stock-based compensation from income (loss) from operations. Although stock-based compensation is an important aspect of the compensation of our employees and executives, determining the fair value of certain of the stock-based instruments we utilize involves a high degree of judgment and estimation and the expense recorded may bear little resemblance to the actual value realized upon the vesting or future exercise of the related stock-based awards. Furthermore, unlike cash compensation, the value of stock options, which is an element of our ongoing stock-based compensation expense, is determined using a complex formula that incorporates factors, such as market volatility, that are beyond our control. Management believes it is useful to exclude stock-based compensation in order to better understand the long-term performance of our core business and to facilitate comparison of our results to those of peer companies. The use of non-GAAP financial measures excluding stock-based compensation has limitations, however. If we did not pay out a portion of our compensation in the form of stock-based compensation, the cash salary expense included in operating expenses would be higher, which would affect our cash position. The following tables reflect the exclusion of stock-based compensation from line items comprising income (loss) from operations:
 
 

 
    Year Ended December 31,
 

 
        2017          
 
 
        2016          
 

 
Actual
 
     
 
Adjusted
 
 
Actual
 
     
 
Adjusted
 
 
 
(GAAP)
 
 
 
 
 
(Non-GAAP)
 
 
(GAAP)
 
 
 
 
 
(Non-GAAP)
 
 
 
(in thousands)
 
Revenue
  $ 36,506  
  $  
  $ 36,506  
  $ 27,371  
  $  
  $ 27,371  
Cost of revenue
    (19,281 )
    (21 )
    (19,260 )
    (14,042 )
    (11 )
    (14,031 )
Gross profit
    17,225  
    (21 )
    17,246  
    13,329  
    (11 )
    13,340  
Operating expenses:
       
       
       
       
       
       
Sales and marketing
    (5,500 )
    (53 )
    (5,447 )
    (3,907 )
    (5 )
    (3,902 )
Research and development
    (5,138 )
    (50 )
    (5,088 )
    (3,259 )
    (5 )
    (3,254 )
General and administrative
    (5,887 )
    (1,499 )
    (4,388 )
    (2,673 )
    (362 )
    (2,311 )
Income (loss) from operations
  $ 700  
  $ (1,623 )
  $ 2,323  
  $ 3,490  
  $ (383 )
  $ 3,873  
 
Adjusted operating loss in 2017, as compared with 2016, reflected an increase of $1.2 million in stock-based compensation expense.
 
Critical Accounting Policies and Significant Judgments and Estimates
 
The preparation of our consolidated financial statements in conformity with GAAP requires management to make estimates and assumptions in applying our accounting policies that affect the reported amounts of assets, liabilities, revenue and expenses, and related disclosures of contingent assets and liabilities. We base these estimates and assumptions on historical experience, and evaluate them on an on-going basis to ensure that they remain reasonable under current conditions. Actual results could differ from those estimates. The accounting policies that reflect our more significant estimates, judgments and assumptions and that we believe are the most critical to aid in fully understanding and evaluating our reported financial results include the following:
 
Revenue Recognition
 
We utilize the guidance set forth in the FASB’s ASC Topic 605, Revenue Recognition , regarding the recognition, presentation and disclosure of revenue in our financial statements. We recognize revenue when persuasive evidence of an arrangement exists; delivery has occurred and the major risks and remunerations of ownership have been transferred to the customer; collectability is probable; and the selling price is fixed or determinable.
 
In general, we recognize revenue when a tool has been demonstrated to meet the customer’s predetermined specifications and is accepted by the customer. If terms of the sale provide for a lapsing customer acceptance period, we recognize revenue as of the earlier of the expiration of the lapsing acceptance period and customer acceptance. In the following circumstances, however, we recognize revenue upon shipment or delivery, when legal title to the tool is passed to a customer as follows:
 
● 
when the customer has previously accepted the same type of tool with the same specifications and when we can objectively demonstrate that the tool meets all of the required acceptance criteria;
 
● 
when the sales contract or purchase order does not contain an acceptance agreement or a lapsing acceptance provision and when we can objectively demonstrate that the tool meets all of the required acceptance criteria;
 
● 
when the customer withholds acceptance due to issues unrelated to product performance, in which case revenue is recognized when the system is performing as intended and meets predetermined specifications; or
 
● 
when our sales arrangements do not include a general right of return.
 
Customization, production, installation and delivery are essential elements of the functionality of our delivered tools, but the related services we offer, principally warranty services, are not essential to tool functionality. We treat the customization, production, installation and delivery of tools, together with the provision of related warranty and other services, as a single unit of accounting in accordance with the FASB’s ASC Subtopic 605-25, Revenue Recognition—Multiple Element Arrangements. In 2017 and 2016 all of our tools were sold in stand-alone arrangements.
 
We offer post-warranty period services, which consist principally of the installation and replacement of parts and small-scale modifications to the equipment. The related revenue and costs of revenue are recognized when parts have been delivered and installed, risk of loss has passed to the customer, and collection is probable. We do not expect revenue from extended maintenance service contracts to represent a material portion of our revenue in the future.
 
 
Stock-Based Compensation
 
We account for grants of stock options based on their grant date fair value and recognize compensation expense over the vesting periods. We estimate the fair value of stock options as of the date of grant using the Black-Scholes option pricing model. Stock options granted to non-employees are subject to periodic revaluation over their vesting terms.
 
Stock-based compensation expense represents the cost of the grant date fair value of employee stock option grants recognized over the requisite service period of the awards (usually the vesting period) on a straight-line basis, net of estimated forfeitures. We estimate the fair value of stock option grants using the Black-Scholes option pricing model, which requires the input of highly subjective assumptions, including (a) the risk-free interest rate, (b) the expected volatility of our stock, (c) the expected term of the award and (d) the expected dividend yield.
 
Prior to the IPO in November 2017, the board of directors considered a number of objective and subjective factors to determine the best estimate of the fair value of our common stock. The factors included: contemporaneous third-party valuations of our common stock; the prices, rights, preferences and privileges of our preferred stock relative to the common stock; the prices of convertible preferred stock sold by us to third-party investors; our operating and financial results; the lack of marketability of our common stock; the U.S. and global economic and capital market conditions and outlook; and the likelihood of achieving a liquidity event for the shares of common stock underlying these stock options, such as an initial public offering or sale of our company, given prevailing market conditions. Since the IPO, we have used the market closing price for the Class A common stock as reported on the Nasdaq Global Market to determine the fair value of the Class A common stock.
 
The risk-free interest rates for periods within the expected life of the option are based on the yields of zero-coupon U.S. Treasury securities.
 
Due to a lack of company-specific historical and implied volatility data, we have based our estimate of expected volatility on the historical volatility of a group of similar companies that are publicly traded. For these analyses, we have selected companies with comparable characteristics to ours including enterprise value, risk profile, position within the industry, and with historical share price information sufficient to meet the expected life of the stock-based awards. We compute the historical volatility data using the daily closing prices for the selected companies’ shares during the equivalent period of the calculated expected term of our stock-based awards. We will continue to apply this process until a sufficient amount of historical information regarding the volatility of our own stock price becomes available.
 
The expected term represents the period of time that options are expected to be outstanding. The expected term of stock options is based on the average between the vesting period and the contractual term for each grant according to Staff Accounting Bulletin No. 110.
 
The expected dividend yield is assumed to be 0%, based on the fact that we have never paid cash dividends and have no present intention to pay cash dividends.
 
For employee stock option grants made during the years ended December 31, 2017 and 2016, the weighted-average assumptions used in the Black-Scholes option pricing model to determine the fair value of those grants were as follows:
 
 
  Year Ended December 31,
 
2017
 
2016
Risk-free interest rate
    2.21%-2.22%
 
    2.02% -2.32%  
Expected volatility
    28.62%-29.18%  
 
     29.93%   
Expected term (in years)
    6.25  
 
    5.75-6.25  
Expected dividend yield
    0%
 
    0%
 
For non-employee stock option grants made for the years ended December 31, 2017 and 2016, the weighted-average assumptions used in the Black-Scholes option pricing model to determine the fair value of those grants were as follows:
 
 
Year Ended December 31,
 
2017  
 
2016  
Risk-free interest  rate
1.62%-2.43%  
 
    1.00% -2.25%  
Expected volatility
28.71%-29.41%
 
29.93%  
Expected term (in years)
3.58-6.25  
 
    2.11-6.24  
Expected dividend yield
    0%
 
       0%  
 
 
The following table summarizes by grant date the number of shares of common stock underlying stock options granted since January 1, 2015, as well as the associated per share exercise price and the estimated fair value per share of common stock on the grant date:
 
Grant Dates
 
Number of Common Shares Underlying Options Granted
 
 
Exercise Price per Common Share
 
 
Estimated Fair
Value per
Common Share
 
May 1, 2015
    783,338  
  $ 1.50  
  $ 1.50  
September 8, 2015
    263,335  
    1.50  
    1.50  
December 28, 2016
    1,424,596  
    3.00  
    2.28  
March 9, 2017
    33,334  
    7.50  
    7.50  
May 9, 2017
    183,335  
    7.50  
    7.50  
November 2, 2017
    120,002  
    5.60  
    5.60  
 
As of December 31, 2017, the unrecognized compensation cost related to outstanding options was $729,000 and is expected to be recognized as expense over a weighted-average of 1.77 years. As of December 31, 2016, the unrecognized compensation cost related to outstanding options was $726,000 and is expected to be recognized as expense over a weighted-average of 2.25 years.
 
As of December 31, 2017, we had outstanding stock options to acquire an aggregate of 3,372,292 shares of Class A common stock with an intrinsic value of $9.3 million. Of those outstanding options, (a) 1,765,112 shares had vested as of December 31, 2017, representing an intrinsic value of $6.4 million and (b) 1,607,180 shares were unvested, representing an intrinsic value of $2.9 million.
 
 
Inventory
 
Inventories consist of finished goods, raw materials, work-in-process and consumable materials. Finished goods are comprised of direct materials, direct labor, depreciation and manufacturing overhead. Inventory is stated at the lower of cost and net recognizable value of the inventory. The cost of a general inventory item is determined using the weighted average method. The cost of an inventory item purchased specifically for a customized tool is determined using the specific identification method. Market value is determined as the lower of replacement cost and net realizable value, which is the estimated selling price, in the ordinary course of business, less estimated costs to complete or dispose.
 
We assess the recoverability of all inventories quarterly to determine if any adjustments are required. We write down excess or obsolete tool-related inventory based on management’s analysis of inventory levels and forecasted 12-month demand and technological obsolescence and spare parts inventory based on forecasted usage. These factors are affected by market and economic conditions, technology changes, new product introductions and changes in strategic direction, and they require estimates that may include uncertain elements. Actual demand may differ from forecasted demand, and those differences may have a material effect on recorded inventory values.
 
Our manufacturing overhead standards for product costs are calculated assuming full absorption of forecasted spending over projected volumes, adjusted for excess capacity. Abnormal inventory costs such as costs of idle facilities, excess freight and handling costs, and spoilage are recognized as current period charges.
 
Allowance for Doubtful Accounts
 
Accounts receivable are reflected in our consolidated balance sheets at their estimated collectible amounts. A substantial majority of our accounts receivable are derived from sales to large multinational semiconductor manufacturers in Asia. We follow the allowance method of recognizing uncollectible accounts receivable, pursuant to which we regularly assess our ability to collect outstanding customer invoices and make estimates of the collectability of accounts receivable. We provide an allowance for doubtful accounts when we determine that the collection of an outstanding customer receivable is not probable. The allowance for doubtful accounts is reviewed on a quarterly basis to assess the adequacy of the allowance. We take into consideration (a) accounts receivable and historical bad debts experience, (b) any circumstances of which we are aware of a customer’s inability to meet its financial obligations, (c) changes in our customer payment history, and (d) our judgments as to prevailing economic conditions in the industry and the impact of those conditions on our customers. If circumstances change, such that the financial conditions of our customers are adversely affected and they are unable to meet their financial obligations to us, we may need to record additional allowances, which would result in a reduction of our net income.
 
Property, Plant and Equipment
 
Assets comprising property, plant and equipment are recorded at cost. Depreciation is recorded on a straight-line basis over the estimated useful lives of the assets and begins when the assets are placed in service. Betterments or renewals are capitalized when incurred. Maintenance and repairs with respect to an asset are expensed as incurred if they neither materially add to the value of the asset nor appreciably prolong its life. Assets comprising plant, property and equipment are reviewed each year to determine whether any events or circumstances indicate that the carrying amount of the asset may not be recoverable.
 
 
Intangible Assets
 
Intangible assets represent the fair value of separately recognizable intangible assets acquired in connection with our business operations. We evaluate intangibles for impairment on an annual basis or whenever events or circumstances indicate that an impairment may have occurred.
 
Valuation of Long-Lived Assets
 
Long-lived assets are evaluated for impairment whenever events or changes in circumstance indicate that the carrying value of an asset may not be fully recoverable or that the useful life is shorter than we had originally estimated. When these events or changes occur, we evaluate the impairment of the long-lived assets by comparing the carrying value of the assets to an estimate of future undiscounted cash flows expected to be generated from the use of the assets and their eventual disposition. If the sum of the expected future undiscounted cash flow is less than the carrying value of the assets, we recognize an impairment loss based on the excess of the carrying value over the fair value. No impairment charge was recognized in 2015, 2016 and 2017.
 
Income Taxes
 
Income taxes are accounted for using the liability method. Under this method, deferred income tax assets and liabilities are recognized for the future tax consequences attributable to temporary differences between the financial statement carrying amounts of existing assets and liabilities and their respective tax bases. Deferred income tax assets and liabilities are measured using enacted tax rates expected to apply to taxable income in the years in which these temporary differences are expected to be recovered or settled. The effect on deferred tax assets and liabilities of a change in tax rates is recognized in income in the period that includes the enactment date. A valuation allowance would be provided for the deferred tax assets if it is more likely than not that the related benefit will not be realized.
 
On a quarterly basis, we provide income tax provisions based upon an estimated annual effective income tax rate. The effective tax rate is highly dependent upon the geographic composition of worldwide earnings, tax regulations governing each region, availability of tax credits and the effectiveness of our tax planning strategies. We carefully monitor the changes in many factors and adjust our effective income tax rate on a timely basis. If actual results differ from these estimates, this could have a material effect on our financial condition and results of operations.
 
We maintained a partial valuation allowance as of December 31, 2017 with respect to certain net deferred tax assets based on our estimates of recoverability. We determined that the partial valuation allowance was appropriate given our historical operating losses and uncertainty with respect to our ability to generate profits from our business model sufficient to take advantage of the deferred tax assets in all applicable tax jurisdictions.
 
The calculation of our tax liabilities involves dealing with uncertainties in the application of complex tax regulations. In accordance with the authoritative guidance on accounting for uncertainty in income taxes, we recognize liabilities for uncertain tax positions based on the two-step process. The first step is to evaluate the tax position for recognition by determining if the weight of available evidence indicates that it is more likely than not that the position will be sustained in audit, including resolution of related appeals or litigation processes, if any. The second step is to measure the tax benefit as the largest amount that is more than fifty-percent likely of being realized upon ultimate settlement. We reevaluate these uncertain tax positions on a quarterly basis. This evaluation is based on factors including changes in facts or circumstances, changes in tax law, effectively settled issues under audit and new audit activity. Any change in these factors could result in the recognition of a tax benefit or an additional charge to the tax provision.
 
Interest and penalties related to uncertain tax positions are recorded in the provision for income tax expense on the consolidated statements of operations.
 
Foreign Currency Translation
 
Our consolidated financial statements are presented in U.S. dollars, which is our reporting currency, while the functional currency of our subsidiaries in the PRC is RMB. Transactions in foreign currencies are initially recorded at the functional currency rate prevailing at the date of the transactions. Any difference between the initially recorded amount and the settlement amount is recorded as a gain or loss on foreign currency transaction in our consolidated statements of operations. Monetary assets and liabilities denominated in a foreign currency are translated at the functional currency rate of exchange as of the date of a consolidated balance sheet. Any difference is recorded as a gain or loss on foreign currency translation in the appropriate consolidated statement of operations. In accordance with the FASB’s ASC Topic 830, Foreign Currency Matters , we translate the assets and liabilities into U.S. dollars from RMB using the rate of exchange prevailing at the applicable balance sheet date and the consolidated statements of operations and cash flows are translated at an average rate during the reporting period. Adjustments resulting from the translation are recorded in stockholders’ equity as part of accumulated other comprehensive income.
 
 
The PRC government imposes significant exchange restrictions on fund transfers out of the PRC that are not related to business operations. To date these restrictions have not had a material impact on us because we have not engaged in any significant transactions that are subject to the restrictions.
 
Warranty
 
We have provided warranty coverage on our tools for 12 to 36 months, covering labor and parts necessary to repair a tool during the warranty period. We account for the estimated warranty cost as sales and marketing expense at the time revenue is recognized. Warranty obligations are affected by historical failure rates and associated replacement costs. Utilizing historical warranty cost records, we calculate a rate of warranty expenses to revenue to determine the estimated warranty charge. We update these estimated charges on a regular basis. The actual product performance and field expense profiles may differ, and in those cases we adjust our warranty accruals accordingly.
 
Recent Accounting Pronouncements
 
The following description summarizes recent accounting pronouncements that we have adopted or will be required to adopt in the future.
 
In February 2018, the FASB issued Accounting Standards Update, or ASU, No. 2018-02, Income Statement—Reporting Comprehensive Income (Topic 220): Reclassification of Certain Tax Effects from Accumulated Other Comprehensive Income , which provides financial statement preparers with an option to reclassify stranded tax effects within accumulated other comprehensive income to retained earnings in each period in which the effect of the change in the U.S. federal corporate income tax rate in the Tax Cuts and Jobs Act (or portion thereof) is recorded. The amendments in this ASU are effective for all entities for fiscal years beginning after December 15, 2018, and interim periods within those fiscal years. Early adoption of ASU 2018-02 is permitted, including adoption in any interim period for the public business entities for reporting periods for which financial statements have not yet been issued. The amendments in this ASU should be applied either in the period of adoption or retrospectively to each period (or periods) in which the effect of the change in the U.S. federal corporate income tax rate in the Tax Cuts and Jobs Act is recognized. We are is currently evaluating the impact of the adoption of ASU No. 2018-02 on our consolidated financial statements.
 
In July 2017 the FASB issued ASU No. 2017-11, Earnings Per Share (Topic 260); Distinguishing Liabilities from Equity (Topic 480); Derivatives and Hedging (Topic 815) : (Part I) Accounting for Certain Financial Instruments with Down Round Features, (Part II) Replacement of the Indefinite Deferral for Mandatorily Redeemable Financial Instruments of Certain Nonpublic Entities and Certain Mandatorily Redeemable Noncontrolling Interests with a Scope Exception , which addresses the complexity of accounting for certain financial instruments with down round features. Down round features are features of certain equity-linked instruments (or embedded features) that result in the strike price being reduced on the basis of the pricing of future equity offerings. Current accounting guidance creates cost and complexity for entities that issue financial instruments (such as warrants and convertible instruments) with down round features that require fair value measurement of the entire instrument or conversion option. For public business entities, the amendments in Part I of this update are effective for fiscal years, and interim periods within those fiscal years, beginning after December 15, 2018. For all other entities, the amendments in Part I of this update are effective for fiscal years beginning after December 15, 2019, and interim periods within fiscal years beginning after December 15, 2020. We are currently evaluating the impact of adoption of ASU 2017-11.
 
In May 2017 the FASB issued ASU No. 2017-09, Compensation – Stock Compensation (Topic 718): Scope of Modification Accounting , which provides guidance on determining which changes to the terms or conditions of share-based payment awards require an entity to apply modification accounting under Topic 718. The amendments in this ASU are effective for all entities for annual periods, and interim periods within those annual periods, beginning after December 15, 2017. Early adoption is permitted, including adoption in any interim period, for (a) public business entities for reporting periods for which financial statements have not yet been issued and (b) all other entities for reporting periods for which financial statements have not yet been made available for issuance. The amendments in this ASU should be applied prospectively to an award modified on or after the adoption date. We do not expect the adoption of ASU No. 2017-09 to have a material impact on our consolidated financial statements.
 
In February 2017 the FASB issued ASU No. 2017-05, Other Income —Gains and Losses from the Derecognition of Nonfinancial Assets (Subtopic 610-20): Clarifying the Scope of Asset Derecognition Guidance and Accounting for Partial Sales of Nonfinancial Assets, which clarifies the scope of nonfinancial asset guidance in Subtopic 610-20. This ASU also clarifies that derecognition of all businesses and nonprofit activities (except those related to conveyances of oil and gas mineral rights or contracts with customers) should be accounted for in accordance with the derecognition and deconsolidation guidance in Subtopic 810-10. The amendments in this ASU also provide guidance on the accounting for so-called “partial sales” of nonfinancial assets within the scope of Subtopic 610-20 and contributions of nonfinancial assets to a joint venture or other noncontrolled investee. The amendments in this ASU are effective for annual reporting reports beginning after December 15, 2017, including interim reporting periods within that reporting period. We do not expect the adoption of ASU No. 2017-05 to have a material impact on our consolidated financial statements.
 
 
In January 2017 the FASB issued ASU No. 2017-04, Intangibles – Goodwill and Other (Topic 350): Simplifying the Test for Goodwill Impairment , which removes Step 2 from the goodwill impairment test. An entity will apply a one-step quantitative test and record the amount of goodwill impairment as the excess of a reporting unit’s carrying amount over its fair value, not to exceed the total amount of goodwill allocated to the reporting unit. The new guidance does not amend the optional qualitative assessment of goodwill impairment. A business entity that is a U.S. Securities and Exchange Commission filer must adopt the amendments in this ASU for its annual or any interim goodwill impairment test in fiscal years beginning after December 15, 2019. Early adoption is permitted for interim or annual goodwill impairment tests performed on testing dates after January 1, 2017. We do not expect the adoption of ASU No. 2016-18 to have a material impact on our consolidated financial statements.
 
In November 2016 the FASB issued ASU No. 2016-18, Statement of Cash Flows (Topic 230): Restricted Cash , which requires that a statement of cash flows explain the change during the period in the total of cash, cash equivalents, and amounts generally described as restricted cash or restricted cash equivalents. Therefore, amounts generally described as restricted cash and restricted cash equivalents should be included with cash and cash equivalents when reconciling the beginning-of-period and end-of-period total amounts shown on the statement of cash flows. The amendments in this ASU do not provide a definition of restricted cash or restricted cash equivalents. The amendments in this ASU are effective for public business entities for fiscal years beginning after December 15, 2017, and interim periods within those fiscal years. Early adoption is permitted, including adoption in an interim period. We are currently evaluating the impact of the adoption of ASU No. 2016-18 on our consolidated financial statements.
 
In August 2016 the FASB issued Accounting Standards Update, or ASU, No. 2016-15, Statement of Cash Flows (Topic 230): Classification of Certain Cash Receipts and Cash Payments , which addresses the following cash flow issues: (a) debt prepayment or debt extinguishment costs; (b) settlement of zero-coupon debt instruments or other debt instruments with coupon interest rates that are insignificant in relation to the effective interest rate of the borrowing; (c) contingent consideration payments made after a business combination; (d) proceeds from the settlement of insurance claims; (e) proceeds from the settlement of corporate-owned life insurance policies, including bank-owned life insurance policies; (f) distributions received from equity method investees; (g) beneficial interests in securitization transactions; and (h) separately identifiable cash flows and application of the predominance principle. The amendments in this ASU are effective for public business entities for fiscal years beginning after December 15, 2017 and interim periods within those fiscal years and are effective for all other entities for fiscal years beginning after December 15, 2018 and interim periods within fiscal years beginning after December 15, 2019. Early adoption is permitted, including adoption in an interim period. We are currently evaluating the impact of the adoption of ASU No. 2016-15 on our consolidated financial statements.
 
In April 2016 the FASB issued ASU No. 2016-09, Compensation—Stock Compensation (Topic 718): Improvements to Employee Share-Based Payment Accounting , which simplifies several aspects of the accounting for employee stock-based payment transactions. The areas for simplification in ASU No. 2016-09 include the income tax consequences, classification of awards as either equity or liabilities, and classification on the statement of cash flows. The amendments in this ASU will be effective for annual periods beginning after December 15, 2016 and interim periods within those annual periods. The adoption of ASU No. 2016-09 did not have a material impact on our consolidated financial statements.
 
In February 2016 the FASB issued ASU No. 2016-02, Leases (Topic 842) . The amendments in this update create Topic 842, Leases , and supersede the leases requirements in Topic 840, Leases .   Topic 842 specifies the accounting for leases. The objective of Topic 842 is to establish the principles that lessees and lessors shall apply to report useful information to users of financial statements about the amount, timing and uncertainty of cash flows arising from a lease. The main difference between Topic 842 and Topic 840 is the recognition of lease assets and lease liabilities for those leases classified as operating leases under Topic 840. Topic 842 retains a distinction between finance leases and operating leases. The classification criteria for distinguishing between finance leases and operating leases are substantially similar to the classification criteria for distinguishing between capital leases and operating leases in the previous leases guidance. The result of retaining a distinction between finance leases and operating leases is that under the lessee accounting model in Topic 842, the effect of leases in the statement of comprehensive income and the statement of cash flows is largely unchanged from previous GAAP. The amendments in ASU No. 2016-02 are effective for fiscal years beginning after December 15, 2018, including interim periods within those fiscal years for public business entities. Early application of the amendments in ASU No. 2016-02 is permitted. We are currently evaluating the impact of the adoption of ASU No. 2016-02 on our consolidated financial statements.
 
In November 2015 the FASB issued ASU No. 2015-17, Income Taxes (Topic 740): Balance Sheet Classification of Deferred Taxes .   Topic 740, Income Taxes , requires an entity to separate deferred income tax liabilities and assets into current and noncurrent amounts in a classified statement of financial position. Deferred tax liabilities and assets are classified as current or noncurrent based on the classification of the related asset or liability for financial reporting. Deferred tax liabilities and assets that are not related to an asset or liability for financial reporting are classified according to the expected reversal date of the temporary difference. To simplify the presentation of deferred income taxes, the amendments in ASU No. 2015-17 require that deferred income tax liabilities and assets be classified as noncurrent in a classified statement of financial position. For public business entities, the amendments in this update are effective for financial statements issued for annual periods beginning after December 15, 2016, and interim periods within those annual periods. The adoption of ASU No. 2015-17 did not have a material impact on our consolidated financial statements.
 
 
In July 2015 the FASB issued ASU No. 2015-11,  Inventory (Topic 330): Simplifying the Measurement of Inventory .   The amendments in this update require an entity to measure inventory within the scope of ASU No. 2015-11 (the amendments in ASU No. 2015-11 do not apply to inventory that is measured using last-in, first-out or the retail inventory method. The amendments apply to all other inventory, which includes inventory that is measured using first-in, first-out or average cost) at the lower of cost and net realizable value. Net realizable value is the estimated selling prices in the ordinary course of business, less reasonably predictable costs of completion, disposal and transportation. Subsequent measurement is uncharged for inventory measured using last-in, first-out or the retail inventory method. The amendments in ASU No. 2015-11 more closely align the measurement of inventory in GAAP with the measurement of inventory in International Financial Reporting Standards. ASU No. 2015-11 is effective for public business entities for fiscal years beginning after December 15, 2016, including interim periods within those fiscal years. The amendments in ASU No. 2015-11 should be applied prospectively with earlier application permitted as of the beginning of an interim or annual reporting period. The adoption of ASU No. 2015-11 did not have a material impact on our consolidated financial statements. The relevant descriptions have been included in the inventory accounting policy.
 
In August 2014, the FASB issued ASU No. 2014-15, Presentation of Financial Statements—Going Concern . The amendments in this update require management to evaluate whether there are conditions and   events that raise substantial doubt about an entity’s ability to continue as a going concern for both annual and   interim reporting. The guidance is effective for us for the annual period ended after December 15,   2016 and interim periods thereafter. Management performed an evaluation of the our ability to fund operations and to continue as a going concern according to ASC Topic 205-40, Presentation of Financial Statements—Going Concern . The adoption of ASU No. 2014-15 did not have a material impact on our consolidated financial statements.
 
In May 2014, the FASB issued ASU No. 2014-09, Revenue from Contracts with Customers (Topic 606) . ASU No. 2014-09 supersedes the revenue recognition requirements in “Revenue Recognition (Topic 605)”, and requires entities to recognize revenue when it transfers promised goods or services to customers in an amount that reflects the consideration to which the entity expects to be entitled to in exchange for those goods or services. The FASB issued ASU No. 2015-14, Revenue from Contracts with Customers (Topic 606) : Deferral of the Effective Date in August 2015. The amendments in ASU No. 2015-14 defer the effective date of ASU No. 2014-09. Public business entities, certain not-for-profit entities, and certain employee benefit plans should apply the guidance in ASU No. 2014-09 to annual reporting periods beginning after December 15, 2017, including interim reporting periods within that reporting period. Earlier adoption is permitted only as of annual reporting periods beginning after December 15, 2016, including interim reporting periods within that reporting period.Further to ASU No. 2014-09 and ASU No. 2015-14, the FASB issued ASU No. 2016-08, Revenue from Contracts with Customers (Topic 606): Principal versus Agent Considerations (Reporting Revenue Gross versus Net) in March 2016, ASU No. 2016-10, Revenue from Contracts with Customers (Topic 606): Identifying Performance Obligations and Licensing in April 2016, ASU No. 2016-12, Revenue from Contracts with Customers (Topic 606): Narrow-Scope Improvements and Practical Expedients , and ASU No. 2016-20, Technical Corrections and Improvements to Topic 606, Revenue from Contracts with Customers , respectively. The amendments in ASU No. 2016-08 clarify the implementation guidance on principal versus agent considerations, including indicators to assist an entity in determining whether it controls a specified good or service before it is transferred to the customers. ASU No. 2016-10 clarifies guideline related to identifying performance obligations and licensing implementation guidance contained in the new revenue recognition standard. The updates in ASU No. 2016-10 include targeted improvements based on input the FASB received from the Transition Resource Group for Revenue Recognition and other stakeholders. It seeks to proactively address areas in which diversity in practice potentially could arise, as well as to reduce the cost and complexity of applying certain aspects of the guidance both at implementation and on an ongoing basis. ASU No. 2016-12 addresses narrow-scope improvements to the guidance on collectability, non-cash consideration, and completed contracts at transition. Additionally, the amendments in this ASU provide a practical expedient for contract modifications at transition and an accounting policy election related to the presentation of sales taxes and other similar taxes collected from customers. The amendments in ASU No. 2016-20 represents changes to make minor corrections or minor improvements to the Codification that are not expected to have a significant effect on current accounting practice or create a significant administrative cost to most entities. The effective date and transition requirements for ASU No. 2016-08, ASU No. 2016-10, ASU No. 2016-12 and ASU No. 2016-20 are the same as ASU No. 2014-09. The Company will adopt ASU No. 2014-09, ASU No. 2016-08, ASU No. 2016-10, ASU No. 2016-12 and ASU No. 2016-20 at January 1, 2018. The Company has substantially completed the implementation of these ASUs and has identified the necessary changes to its policies, business processes, systems and controls. Whilst the Company has finalized the analysis of its revenue contracts applying the above guidance, and will adopt FASB ASC Topic 606, Revenue from Contracts with Customers , effective January 1, 2018, using the modified retrospective transition approach. Under this approach, FASB ASC Topic 606 would apply to all new contracts initiated on or after January 1, 2018. For existing contracts that have remaining obligations as of January 1, 2018, any difference between the recognition criteria in these ASUs and the Company's current revenue recognition practices would be recognized using a cumulative effect adjustment to the opening balance of accumulated deficit. The Company has concluded that its revenue recognition will remain the same as previously reported and will not have material impacts to its consolidated financial statements.
 
 
Results of Operations
 
The following table sets forth our results of operations for the periods presented, as percentages of revenue.
 
 
 
Year Ended December 31,
 
 
 
2017
 
 
2016
 
Revenue
    100.0 %
    100.0 %
Cost of revenue
    52.8
 
    51.3  
Gross margin
    47.2
 
    48.7
 
Operating expenses:
       
       
Sales and marketing
    15.1
 
    14.3
 
Research and development
    14.1
 
    11.9
 
General and administrative
    16.1
 
    9.7
 
Total operating expenses, net
    45.3
 
    35.9
 
Income from operations
    1.9
 
    12.8
 
Interest expense, net
    (0.7 )
    (0.6 )
Other income (expense), net
    (2.4 )
    (1.3 )
Income (loss) before income taxes
    (1.1 )
    10.9
 
Income tax (expense) benefit
    (1.5 )
    (2.2 )
Net income (loss)
    (2.6 )
    8.7
 
Less: Net income (loss) attributable to non-controlling interests
    (1.5 )
    4.9
 
Net income (loss) attributable to ACM Research, Inc.
    (1.1 )%
  3.8 %
 
Comparison of Year ended December 31, 2017 and 2016
 
Revenue
 
 
 
Year ended
December 31,  
 
 
% Change  
 
 
 
2017  
 
 
2016  
 
 
2016 v 2017  
 
 
 
(in thousands)
 
 
 
 
Revenue
  $ 36,506  
  $ 27,371  
    33 %
 
The increase in revenue of $9.1 million for 2017 reflected increases in revenue of $5.6 million from single-wafer cleaning equipment, $3.0 million from advanced packaging equipment and $500,000 from service and parts. Our revenue for 2017 compared to 2016 reflected sales of $8.8 million to two new customers and an increase of $300,000 in sales to existing customers.
 
 
Cost of Revenue and Gross Margin
 
 
 
Year Ended
December 31,
 
 
% Change
 
 
 
2017
 
 
2016
 
 
2016 v 2017
 
 
 
(in thousands)
 
 
 
 
Cost of revenue
  $ 19,281  
  $ 14,042  
    37.3 %
Gross profit
  $ 17,225
  $ 13,329  
    29.2  
Gross margin
    47.2 %
    48.7 %
    (1.5 )%
 
Cost of revenue increased $5.2 million, and gross profit increased $3.9 million, for 2017 compared to 2016, reflecting the growth in sales. Gross margin decreased 1.5%, primarily due to sales of relatively lower-margin tools to new customers for 2017. The higher margins in 2016 and 2017 were primarily due to two systems manufactured under governmental subsidies (see “—PRC Government Research and Development Funding” below), which were sold for $1.8 million in 2017 and $3.7 million in 2016. Costs associated with these systems were recorded as research and development expenses as these systems were research and development in nature and had not reached the final product manufacture stage. The related research and development expense was recorded as reduction of our research and development expense as incurred.
 
Operating Expenses
 
 
 
Year Ended
December 31,
 
 
% Change
 
 
 
2017
 
 
2016
 
 
2016 v 2017
 
 
 
(in thousands)
 
 
 
 
Sales and marketing expense
  $ 5,500  
  $ 3,907  
    40.77 %
Research and development expense
    5,138  
    3,259  
    57.66  
General and administrative expense
    5,887  
    2,673  
    120.24
Total operating expenses, net
  $ 16,525  
  $ 9,839  
    67.95 %
 
Sales and marketing expense increased $1.6 million in 2017 as compared to 2016, primarily due to an increase in employee salaries and sales services.
 
Research and development expense increased $1.9 million for 2017 as compared to 2016, principally as a result of increases in employee salaries and research and development parts. Research and development expense represented 14.1% of our revenue in 2017 and 11.9% of our revenue in 2016. Without reduction by grant amounts received from PRC governmental authorities (see “—Key Components of Results of Operations—PRC Government Research and Development Funding”), gross research and development expense totaled $8.6 million, or 23.4% of revenue, in 2017 and $9.5million, or 34.7% of revenue, in 2016.
 
General and administrative expense increased $3.2 million in 2017 as compared to 2016, principally resulting from preparations to become a public company. These costs reflected increases of $1.2 million in stock-based compensation expense, $1.3 million in professional fees, and $523,000 in personnel costs due to the increase of headcount.
 
Other Income and Expenses
 
 
 
Year Ended
December 31,
 
 
% Change
 
 
 
2017
 
 
2016
 
 
2016 v 2017
 
 
 
(in thousands)
 
 
 
 
Interest expense, net
  $ (268 )
  $ (165 )
    62.4 %
Other income (expense), net
    (792 )
    (343 )
    (131 )
 
Interest expense consists of interest incurred from outstanding short-term borrowings. Interest expense increased to $277,000 in 2017 from $181,000 in 2016, principally as a result of increased borrowings under short-term bank loans. We earn interest income from depositary accounts. Interest income was nominal in 2017 and 2016.
 
 
Other income, net primarily reflects (a) gains or losses recognized from the effect of exchange rates on our foreign currency-denominated asset and liability balances, (b) depreciation of assets acquired with government subsidies, as described under “—Key Components of Results of Operations—PRC Government Research and Development Funding” above, and (c) losses we recognized upon dispositions of fixed assets.
 
Income Tax (Expense) Benefit
 
The following presents components of income tax (expense) benefit for the indicated periods:
 
 
 
Year Ended December 31,
 
 
 
 2017 
 
 
2016
 
Current:
 
(in thousands)
 
U.S. federal
  $ -  
  $ -  
U.S. state
    -  
    (1 )
Foreign
    -  
    -  
Total current tax expense
    -  
    (1 )
Deferred:
       
       
U.S. federal
    -  
    -  
U.S. state
    -  
    -  
Foreign
    (547 )
    (594 )
Total deferred tax expense
    (547 )
    (594 )
Total income tax expense
  $ (547 )
  $ (595 )
 
Our effective tax rate differs from statutory rates of 34% for U.S. federal income tax purposes and 15% to 25% for Chinese income tax purpose due to the effects of the valuation allowance and certain permanent differences as it pertains to book-tax differences in the value of client equity securities received for services. Our two PRC subsidiaries, ACM Shanghai and ACM Wuxi, are liable for PRC corporate income taxes at the rates of 15% and 25%, respectively. Pursuant to the Corporate Income Tax Law of the PRC, our PRC subsidiaries generally would be liable for PRC corporate income taxes as a rate of 25%. According to Guoshuihan 2009 No. 203, an entity certified as an “advanced and new technology enterprise” is entitled to a preferential income tax rate of 15%. ACM Shanghai was certified as an “advanced and new technology enterprise” in 2012 and again in 2016, with an effective period of three years.
 
We file income tax returns in the United States and state and foreign jurisdictions. Those federal, state and foreign income tax returns are under the statute of limitations subject to tax examinations for 2009 through 2016. To the extent we have tax attribute carryforwards, the tax years in which the attribute was generated may still be adjusted upon examination by the Internal Revenue Service or state or foreign tax authorities to the extent utilized in a future period.
 
We intend to reinvest indefinitely our PRC earnings as of December 31, 2017 outside of the United States, and we therefore have not provided for taxes with respect to the remissions of such earnings from the PRC to the United States.
 
On December 22, 2017, the 2017 Tax Cuts and Jobs Act was enacted into law. The new legislation contains several key tax provisions that affect us, including a one-time mandatory transition tax on accumulated foreign earnings and a reduction of the corporate income tax rate to 21% effective January 1, 2018. We are required to recognize the effect of the tax law changes in the period of enactment, such as determining the transition tax, remeasuring our U.S. deferred tax assets and liabilities as well as reassessing the net realizability of our deferred tax assets and liabilities.
 
 
Liquidity and Capital Resources
 
Initially we funded our operations principally through issuances of four series of convertible preferred stock from our formation in 1998 through 2001 and issuances of convertible and term promissory notes in 2003 and 2004. We issued additional convertible and term promissory notes in 2005 and 2006 in anticipation of moving our operational center to Shanghai in 2006, and following that transition, our new subsidiary ACM Shanghai raised funds through sales of its non-controlling equity interests in 2007, 2008 and 2009. Prior to 2016, we also funded our operations with (a) subsidies received from PRC governmental authorities pursuant to grants made in 2008, 2009 and 2014, (b) short-term borrowings by ACM Shanghai from local financial institutions in 2009 and each year since 2011 through 2015, (c) additional issuances of term promissory notes in 2013, 2014 and 2015, and (d) operating cash flow in 2015. Since January 1, 2016, we have funded our technology development and operations through:
 
● 
issuances of two additional series of convertible preferred stock in 2016 and the third quarter of 2017;
 
● 
an investment deposit in 2016 made in connection with issuance of a Class A common stock warrant in March 2017;
 
● 
short-term borrowings by ACM Shanghai from local financial institutions in 2016 and the first nine months of 2017;
 
● 
operating cash flow in 2017; and
 
● 
the IPO and the concurrent private placement in November 2017.
 
 
We believe our existing cash and cash equivalents (including our net proceeds of the IPO and the concurrent private placement), our cash flow from operating activities and short-term bank borrowings by ACM Shanghai will be sufficient to meet our anticipated cash needs for at least the next twelve months. We do not expect that our anticipated cash needs for the next twelve months will require our receipt of any PRC government subsidies. Our future working capital needs will depend on many factors, including the rate of our business and revenue growth, the payment schedules of our customers, and the timing of investment in our research and development as well as sales and marketing. To the extent our cash and cash equivalents, cash flow from operating activities and short-term bank borrowings are insufficient to fund our future activities, we may need to raise additional funds through additional bank credit arrangements or public or private debt or equity financings. We also may need to raise additional funds in the event we determine in the future to effect one or more acquisitions of businesses, technologies and products. If additional funding is required, we may not be able to obtain bank credit arrangements or to affect an equity or debt financing on terms acceptable to us or at all.
 
Sources of Funds
 
Equity and Equity-Related Securities
 
From January 1, 2016 to March 19, 2018, we have received gross proceeds of $54.2 million from sales of common stock, convertible preferred stock and a warrant, as described below.
 
Common Stock . We have sold shares of common stock as follows:
 
Issue Date
 
Transaction
 
Gross Proceeds
 
 
 
 
 
  (in thousands)
 
2016
 
Option exercises
  $ 584
 
2017
 
Option exercises
    396  
September 2017
 
Private placements
    15,300  
November 2017
 
IPO
    12,504  
November 2017
 
Concurrent private placement
    7,467  
2018
 
Option Exercises
  62
 
 
 
  $ 36,313
 
Convertible Preferred Stock . In 2016 we received gross proceeds of $9.0 million from sales of shares of Series F convertible preferred stock that converted, upon completion of the IPO, into 1,221,099 shares of Class A common stock, for an effective purchase price of $7.50 per share. In 2017 we received gross proceeds of $5.8 million from sales of shares of Series E convertible preferred stock that converted, upon completion of the IPO, into 1,666,170 shares of Class A common stock, for an effective purchase price of $3.48 per share.
 
Warrant . In December 2016 SMC delivered to ACM Shanghai RMB 20,123,000 ($3.0 million as of the date of funding) in cash for potential investment pursuant to terms to be subsequently negotiated. In March 2017 we issued to SMC a warrant exercisable to purchase 397,502 shares of Class A common stock at a price of $7.50 per share, for a total exercise price of $3.0 million.
 
 
Indebtedness
 
ACM Shanghai Short-Term Loan Facilities . ACM Shanghai is a party to short-term borrowing with three banks, as follows:
 
Lender
  
Agreement Date
 
  
Maturity Date
 
  
Annual Interest Rate
 
 
Maximum Borrowing Amount(1)
  
Amount Outstanding at December 31, 2017(1)
 
 
  
 
 
  
 
 
  
 
 
 
(in thousands)
 
Bank of China Pudong Branch
  
August 2017
 
  
March 2018
 
  
4.80
 
RMB30,000
  
RMB14,500
 
 
  
 
 
  
 
 
  
 
 
 
$4,590
  
$2,219
 
Bank of Shanghai Pudong Branch
  
August 2017
 
  
October 2018
 
  
5.66
 
 
RMB25,000
  
RMB13,800
 
 
  
 
 
  
 
 
  
 
 
 
$3,825
  
$2,111
 
Shanghai Rural Commercial Bank
  
November 2017
 
  
November 2018
 
  
5.44-5.66
 
 
RMB5,000
  
RMB5,000
 
 
  
 
 
  
 
 
  
 
 
 
$765
  
$765 
 
 
  
 
 
  
 
 
  
 
 
 
RMB60,000
  
RMB33,300
 
 
  
 
 
  
 
 
  
 
 
 
$9,180
  
$5,095
 
 
(1) Converted to dollars as of December 31, 2017
 
All of the amounts owing under the line of credit with Bank of China Pudong Branch are secured by ACM Shanghai’s intellectual property. All of the amounts owing under the lines of credit with Bank of Shanghai Pudong Branch and Shanghai Rural Commercial Bank are guaranteed by David Wang, our Chair of the Board, Chief Executive Officer and President.
 
Cash Flow from Operating Activities
 
Our operations used cash flow of $3.7 million in 2016 and $8.1 million in 2017. Our cash flow from operating activities is influenced by (a) the amount of cash we invest in personnel and technology development to support anticipated future growth in our business, (b) increases in the number of customers using our products and services, and (c) the amount and timing of payments by customers.
 
Government Research and Development Grants
 
As described under “—Key Components of Results of Operations—PRC Government Research and Development Funding,” ACM Shanghai has received research and development grants from local and central PRC governmental authorities. ACM Shanghai received grants totaling $6.6 million in 2016 and $2.5 million in 2017. Not all grant amounts are received in the year in which a grant is awarded. Because of the nature and terms of the grants, the amounts and timing of payments under the grants are difficult to predict and vary from period to period. In addition, we expect to apply for additional grants when available in the future, but the grant application process can extend for a significant period of time and we cannot predict whether, or when, we will determine to apply for any such grants.
 
Working Capital
 
The following table sets forth selected working capital information:
 
 
 
December 31, 2017
 
 
 
(in thousands)
 
Cash and cash equivalents
  $ 17,681  
Accounts receivable, less allowance for doubtful amounts
    26,762  
Inventory
    15,388  
Working capital
    41,097  
 
Our cash and cash equivalents at December 31, 2017 were unrestricted and held for working capital purposes. ACM Shanghai, our only direct PRC subsidiary, is, however, subject to PRC restrictions on distributions to equity holders. We currently intend for ACM Shanghai to retain all available funds any future earnings for use in the operation of its business and do not anticipate its paying any cash dividends.
 
We have not entered into, and do not expect to enter into, investments for trading or speculative purposes. Our accounts receivable balance fluctuates from period to period, which affects our cash flow from operating activities. Fluctuations vary depending on cash collections, client mix, and the timing of shipment and acceptance of our tools.
 
 
Uses of Funds
 
Capital Expenditures
 
During 2017 we continued to invest in equipment and infrastructure improvements for our manufacturing and research and development facilities and, to a lesser extent, leasehold improvements for our administrative facilities. Our capital expenditures totaled $766,000 in 2017.
 
Our capital expenditures totaled $795,000 in 2016. Substantially all of the capital expenditures were made to purchase equipment or improve infrastructure for our research and development and manufacturing facilities.
 
We estimate that our capital expenditures in 2018 will total approximately $3.2 million. The extent of these investments may be affected by the pace with which we add new customers and obtain additional purchase orders. We are not currently party to any purchase contracts related to future capital expenditures.
 
Effects of Inflation
 
Inflation and changing prices have not had a material effect on our business, and we do not expect that they will materially affect our business in the foreseeable future. Any impact of inflation on cost of revenue and operating expenses, especially employee compensation costs, may not be readily recoverable in the price of our product offerings.
 
Off-Balance Sheet Arrangements
 
As of December 31, 2017 and 2016, we did not have any significant off-balance sheet arrangements, as defined in Item 303(a)(4)(ii) of Regulation S-K of the SEC.
 
Emerging Growth Company Status
 
We are an “emerging growth company” as defined in the Jumpstart Our Business Startups Act, or JOBS Act, and may take advantage of provisions that reduce our reporting and other obligations from those otherwise generally applicable to public companies. We may take advantage of these provisions until the earliest of December 31, 2022 or such time that we have annual revenue greater than $1.0 billion, the market value of our capital stock held by non-affiliates exceeds $700 million or we have issued more than $1.0 billion of non-convertible debt in a three-year period. We have chosen to take advantage of some of these provisions, and as a result we may not provide stockholders with all of the information that is provided by other public companies. We have, however, irrevocably elected not to avail ourselves, as would have been permitted by Section 107 of the JOBS Act, of the extended transition period provided in Section 7(a)(2)(B) of the Securities Act of 1933 for complying with new or revised accounting standards, and we therefore will be subject to the same new or revised accounting standards as public companies that are not emerging growth companies
 
I tem 7A: Quantitative and Qualitative Disclosures about Market Risk
 
Not applicable.
 
 
I tem 8.   Financial Statements and Supplementary Data
 
INDEX TO CONSOLIDATED FINANCIAL STATEMENTS
 
 
Page
Consolidated Financial Statements
74
 
 
Report of Independent Registered Public Accounting Firm
74
 
 
Consolidated Balance Sheets as of December 31, 2017 and 2016
75
 
 
Consolidated Statements of Operations and Comprehensive Income (Loss) for the Years ended December 31, 2017 and 2016
76
 
 
Consolidated Statements of Changes in Redeemable Convertible Preferred Stock and Stockholders’ Equity (Deficit) for the Years ended December 31, 2017 and 2016
77
 
 
Consolidated Statements of Cash Flows for the Years ended December 31, 2017 and 2016
78
 
 
Notes to Consolidated Financial Statements
79
 
 
 
 
 
 
 
 
 
Report of Independent Registered Public Accounting Firm
 
 
The Board of Directors and Stockholders of
ACM Research, Inc.
 
Opinion on the Consolidated Financial Statements
 
We have audited the accompanying consolidated balance sheets of ACM Research, Inc. and its subsidiaries (the “Company”) as of December 31, 2017 and 2016, the related consolidated statements of operations and comprehensive income (loss), changes in redeemable convertible preferred stock and stockholders’ equity (deficit), and cash flows for each of the two years in the period ended December 31, 2017, and the related notes (collectively referred to as the “consolidated financial statements”). In our opinion, the consolidated financial statements present fairly, in all material respects, the financial position of the Company at December 31, 2017 and 2016, and the results of its operations and its cash flows for each of the two years in the period ended December 31, 2017 , in conformity with accounting principles generally accepted in the United States of America.
 
Basis for Opinion
 
These consolidated financial statements are the responsibility of the Company’s management. Our responsibility is to express an opinion on the Company’s consolidated financial statements based on our audits. We are a public accounting firm registered with the Public Company Accounting Oversight Board (United States) (“PCAOB”) and are required to be independent with respect to the Company in accordance with the U.S. federal securities laws and the applicable rules and regulations of the Securities and Exchange Commission and the PCAOB.
 
We conducted our audits in accordance with the standards of the PCAOB. Those standards require that we plan and perform the audit to obtain reasonable assurance about whether the consolidated financial statements are free of material misstatement, whether due to error or fraud. The Company is not required to have, nor were we engaged to perform, an audit of its internal control over financial reporting. As part of our audits we are required to obtain an understanding of internal control over financial reporting but not for the purpose of expressing an opinion on the effectiveness of the Company’s internal control over financial reporting. Accordingly, we express no such opinion.
 
Our audits included performing procedures to assess the risks of material misstatement of the consolidated financial statements, whether due to error or fraud, and performing procedures that respond to those risks. Such procedures included examining, on a test basis, evidence regarding the amounts and disclosures in the consolidated financial statements. Our audits also included evaluating the accounting principles used and significant estimates made by management, as well as evaluating the overall presentation of the consolidated financial statements. We believe that our audits provide a reasonable basis for our opinion.
 
 
/s/ BDO China Shu Lun Pan Certified Public Accountants LLP
 
We have served as the Company's auditor since 2015.
 
 
Shenzhen, The People’s Republic of China
March 22, 2018
 
 
ACM RESEARCH, INC.
Consolidated Balance Sheets
 
 
 
December 31,
 
 
 
2017
 
 
2016
 
Assets
 
  (in thousands, except share data)
 
Current assets:
 
 
 
 
 
 
Cash and cash equivalents
  $ 17,681  
  $ 10,119  
Accounts receivable, less allowance for doubtful accounts of $0 and $0 as of December 31, 2017 and 2016, respectively (note 3)
    26,762  
    16,026  
Other receivables
    2,491  
    1,763  
Inventory (note 4)
    15,388  
    11,666  
Prepaid expenses
    546  
    720  
Other current assets
    46  
    53  
Total current assets
    62,914  
    40,347  
Property, plant and equipment, net (note 5)
    2,340  
    2,262  
Intangible assets, net
    106  
    17  
Deferred tax assets (note 17)
    1,294  
    1,841  
Investment in affiliates, equity method (note 11)
    1,237  
    -  
Total assets
  $ 67,891  
  $ 44,467  
 
       
       
Liabilities, Redeemable Convertible Preferred Stock and Stockholders’ Equity (Deficit)
       
       
Current liabilities:
       
       
Short-term borrowings (note 6)
  $ 5,095  
  $ 4,761  
Notes payable
    11  
    11  
Investors’ deposits (note 8)
    -  
    2,902  
Warrant liability (note 9)
    3,079  
     
Accounts payable (including amounts due to a related party of $2,118and $508 at December 31, 2017 and 2016, respectively (note 12))
    7,419  
    5,173  
Advances from customers
    143  
    215  
Income taxes payable
    44  
    44  
Other payables and accrued expenses (including amounts due to a related-party of $2,024 and $1,883 as of December 31, 2017 and 2016, respectively (note 12) (note 7))
    6,026  
    3,963  
 
       
       
Total current liabilities
    21,817  
    17,069  
Other long-term liabilities (note 10)
    6,217  
    6,879  
Total liabilities
    28,034  
    23,948  
 
       
       
Commitments and contingencies (Note 18)
       
       
Redeemable convertible preferred stock, with par value $0.0001 as of December 31, 2017 and 2016:
       
       
Series A: 385,000 shares authorized, no shares issued or outstanding as of December 31, 2017; 385,000 shares issued and outstanding as of December 31, 2016 (liquidation value of $0 and $308 at December 31, 2017 and 2016)
    -  
    288  
Series B: 1,572,000 shares authorized, no shares issued or outstanding as of December 31, 2017; 1,572,000 issued and outstanding as of December 31, 2016 (liquidation value of $0 and $1,572 at December 31, 2017 and 2016)
    -  
    1,572  
Series C: 1,360,962 shares authorized, no shares issued or outstanding as of December 31, 2017; 1,360,962 issued and outstanding as of December 31, 2016 (liquidation value of $0 and $2,041 at December 31,2017 and 2016).
    -  
    2,041  
Series D: 2,659,975 shares authorized, no shares issued or outstanding as of December 31, 2017; 1,326,642 shares issued and outstanding as of December 31, 2016 (liquidation value of $0 and $4,975 at December 31, 2017 and 2016)
    -  
    4,975  
Series E: 10,718,530 shares authorized, no shares issued or outstanding as of December 31, 2017 and 2016
    -  
    -  
Series F: 6,000,000 shares authorized, no shares issued or outstanding as of December 31, 2017; 3,663,254 issued and outstanding as of December 31, 2016 (liquidation value of $0 and $9,158 at December 31, 2017 and 2016)
    -  
    9,158  
Total redeemable convertible preferred stock (note 15)
    -  
    18,034  
Stockholders’ equity (deficit):
       
       
Common stock – Class A, with par value $0.0001: 100,000,000 shares authorized, 12,935,546 shares issued and outstanding as of December 31, 2017; 100,000,000 shares authorized and 2,228,740 shares issued and outstanding as of December 31, 2016 (note 14)
    1  
    1  
Common stock – Class B, with par value $0.0001: 7,303,533 shares authorized and 2,409,738 shares issued and outstanding as of December 31, 2017 and 2016 (note 14)
    -  
    1  
Additional paid in capital
    49,695  
    7,620  
Accumulated deficit
    (9,961 )
    (9,643 )
Accumulated other comprehensive income (loss)
    122  
    (413 )
Total ACM Research, Inc. stockholders’ (deficit) equity
    39,857  
    (2,434 )
Non-controlling interests
    -  
    4,919  
Total stockholders’ equity
    39,857  
    2,485  
 
       
       
Total liabilities, redeemable convertible preferred stock and stockholders’ equity
  $ 67,891  
  $ 44,467  
 
The accompanying notes are an integral part of these consolidated financial statements.
 
 
ACM RESEARCH, INC.
Consolidated Statements of Operations and Comprehensive Income (Loss)
 
 
 
Year Ended December 31,
 
 
 
2017
 
 
  2016 
 
 
 
(in thousands, except share and per share data)
 
Revenue
  $ 36,506  
  $ 27,371  
Cost of revenue
    19,281  
    14,042  
Gross profit
    17,225  
    13,329  
Operating expenses:
       
       
Sales and marketing
    5,500  
    3,907  
Research and development
    5,138  
    3,259  
General and administrative
    5,887  
    2,673  
Total operating expenses, net
    16,525  
    9,839  
Income from operations
    700  
    3,490  
Interest income
    9  
    16  
Interest expense
    (277 )
    (181 )
Other expense, net
    (794 )
    (343 )
Equity in net income of affiliates
    37  
    -  
Income (loss) before income taxes
    (325 )
    2,982  
Income tax expense (note 17)
    (547 )
    (595 )
Net income (loss)
    (872 )
    2,387  
Less: Net income (loss) attributable to non-controlling interests
    (554 )
    1,356  
Net income (loss) attributable to ACM Research, Inc.
    (318 )
    1,031  
Comprehensive income (loss):
       
       
Net income (loss)
    (872 )
    2,387  
Foreign currency translation adjustment
    472  
    (522 )
Comprehensive income (loss)
    (400 )
    1,865  
Less: Comprehensive income (loss) attributable to non-controlling interests
    (369 )
    1,161  
Total comprehensive income (loss) attributable to ACM Research, Inc. (note 2)
  $ (31 )
  $ 704  
Net income (loss) per common share (note 2):
       
       
Basic
  $ (0.05 )
  $ 0.30  
Diluted
    (0.05 )
  $ 0.18  
Weighted-average common shares outstanding used in computing per share amounts (note 2):
       
       
Basic
    6,865,390  
    2,176,315  
Diluted
    6,865,390  
    3,792,137  
 
The accompanying notes are an integral part of these consolidated financial statements.
 
 
ACM RESEARCH, INC.
 
Consolidated Statement of Changes in Redeemable Convertible Preferred Stock and Stockholders’ Equity (Deficit)
 
 
 
Redeemable Convertible Preferred Stock
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Series A
 
 
Series B
 
 
Series C
 
 
Series D
 
 
Series E
 
 
Series F
 
 
 
 
 
Common Stock
 
 
Common
Stock Class A
 
 
Common
Stock Class B
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
shares
 
 
Amount
 
 
Shares
 
 
Amount
 
 
Shares
 
 
Amount
 
 
Shares
 
 
Amount
 
 
Shares
 
 
Amount
 
 
Shares
 
 
Amount
 
 
Total Amount
 
 
Shares
 
 
Amount
 
 
Shares
 
 
Amount
 
 
Shares
 
 
Amount
 
 
Additional Paid-in
Capital
 
 
Accumulated Deficit
 
 
Accumulated
Other
Comprehensive
 Income
 
 
Non-controlling
Interest
 
 
Total Stockholders’ Equity (Deficit)
 
 
 
(in thousands, except share data)
 
Balance at January 1, 2016
    385,000  
  $ 288  
    1,572,000  
  $ 1,572  
    1,360,962  
  $ 2,041  
    1,326,642  
  $ 4,975  
     
  $  
     
  $  
  $ 8,876  
    2,047,403  
  $ 280  
     
  $  
     
  $  
  $ 2,243  
  $ (10,675 )
  $ (84 )
  $ 3,757  
  $ (4,479 )
Net income
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    1,031  
     
    1,356  
    2,387  
Foreign currency translation adjustment
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    (329 )
    (193 )
    (522 )
Redomestication
     
     
     
     
     
     
     
     
     
     
     
     
     
    (2,047,403 )
    (280 )
     
     
    2,047,403  
    1  
    279  
     
     
     
     
Issuance of stock
     
     
     
     
     
     
     
     
     
     
    3,615,800  
    9,039  
    9,039  
     
     
     
     
     
     
     
     
     
     
     
Debt conversion
     
     
     
     
     
     
     
     
     
     
    47,454  
    119  
    119  
     
     
    1,812,069  
    1  
     
     
    4,131  
     
     
     
    4,132  
Exercise of stock option
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    416,671  
     
    362,335  
     
    584  
     
     
     
    584  
compensation
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    383  
     
     
     
    383  
 
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
Balance at December 31, 2016
    385,000  
  $ 288  
    1,572,000  
  $ 1,572  
    1,360,962  
  $ 2,041  
    1,326,642  
  $ 4,975  
     
  $  
    3,663,254  
  $ 9,158  
  $ 18,034  
     
  $  
    2,228,740  
  $ 1  
    2,409,738  
  $ 1  
  $ 7,620  
  $ (9,643 )
  $ (413 )
  $ 4,919  
  $ 2,485  
Net loss
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    (318 )
     
    (554 )
    (872 )
Foreign currency translation adjustment
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    535  
    185  
    720  
Exercise of stock option
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    472,887  
     
     
     
    396  
     
     
     
    396  
Stock-based compensation
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    1,622  
     
     
     
    1,622  
Purchase of non-controlling interest
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    (16,258 )
     
     
    (4,550 )
    (20,808 )
Issuance of Series E Preferred Stock
     
     
     
     
     
     
     
     
    4,998,508  
  $ 5,800  
     
     
    5,800  
     
     
     
     
     
     
     
     
     
     
     
Issuance of Common Stock to Ninebell
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    133,334  
     
     
     
    1,000  
     
     
     
    1,000  
Issuance of Common Stock to Shanghai and Pudong VC
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    1,906,674  
     
     
     
    14,299  
     
     
     
    14,299  
Convertible preferred shares converted to common shares in connection with initial public offering
    (385,000 )
    (288 )
    (1,572,000 )
    (1,572 )
    (1,360,962 )
    (2,041 )
    (1,326,642 )
    (4,975 )
    (4,998,508 )
    (5,800 )
    (3,663,254 )
    (9,158 )
    (23,834 )
     
     
    4,627,577  
     
     
     
    23,834  
     
     
     
    23,834  
Issuance of Class A common stock in connection with initial public offering and concurrent private placement, net of issuance costs of $2,791 and underwriter's warrant of $137
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    3,566,334  
     
     
     
    17,044
 
     
     
     
    17,044
 
Issuance of underwriter's warrant
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
   
 
    137
 
   
 
   
 
     
    137
 
Reclassification of reverse split par value
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
     
    (1 )
    1  
     
     
     
     
 
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
       
Balance at December 31, 2017
    -  
  $ -  
    -  
  $ -  
    -  
  $ -  
    -  
  $ -  
    -  
  $ -  
    -  
  $ -  
  $ -  
     
  $  
    12,935,546  
  $ 1  
    2,409,738  
  $ -  
  $ 49,695  
  $ (9,961 )
  $ 122  
  $ -  
  $ 39,857  
 
The accompanying notes are an integral part of these consolidated financial statements.
 
 
ACM RESEARCH, INC.
Consolidated Statements of Cash Flows
 
 
 
Year Ended December 31,
 
 
 
2017
 
 
2016
 
 
 
(in thousands)
 
Cash flows from operating activities:
 
 
 
 
 
 
Net income (loss)
  $ (872 )
  $ 2,387  
Adjustments to reconcile net income (loss) from operations to net cash provided by operating activities:
       
       
Depreciation and amortization
    271  
    187  
Undistributed earnings from investments in equity method affiliates
    (37 )
    -  
Loss on disposals of fixed assets, intangible assets and other long-term assets
    1  
    3  
Net loss from debt conversion and interest waiver
    -  
    1,608  
Deferred income taxes
    659  
    436  
Stock-based compensation
    1,622  
    383  
Net changes in operating assets and liabilities:
       
       
Accounts receivable
    (9,757 )
    (4,724 )
Other receivables
    332  
    (621 )
Inventory
    (3,073 )
    (3,055 )
Prepaid expenses
    256  
    219  
Other current assets
  8  
    (47 )
Accounts payable
    1,905  
    3,177  
Advances from customers
    (127 )
    (4,078 )
Other payables and accrued expenses
    1,789  
    276  
Other long-term liabilities
    (1,078 )
    147  
Net cash used in operating activities
    (8,101 )
    (3,702 )
 
       
       
Cash flows from investing activities:
       
       
Purchase of property and equipment
    (651 )
    (795 )
Purchase of intangible assets
    (115 )
    (22 )
Proceed from disposal of property and equipment
    -  
    7  
Loan to related party
    (946 )
    -  
Purchase of non-controlling interest
    (20,808 )
    -  
Investment in affiliates, equity method
    (1,200 )
    -  
Net cash used in investing activities
    (23,720 )
    (810 )
 
       
       
Cash flows from financing activities:
       
       
Proceeds from short-term borrowings
    11,154  
    5,918  
Repayments of short-term borrowings
    (11,110 )
    (7,575 )
Investors’ deposit
    -  
    2,902  
Proceeds from stock option exercise to common stock
    396  
    410  
Proceeds from issuance of Series E convertible preferred stock
    5,800  
    -  
Proceeds from issuance of Series F convertible preferred stock
    -  
    9,040  
Proceeds from issuance of common stock in connection with initial public offering and concurrent private placement , net of direct issuance expenses of $1,254
    18,717  
    -  
Payment of initial public offering expenses    
    (1,537 )
    -  
Investment in affiliates, equity method
    1,000  
    -  
Repayments of notes payable
    -  
    (141 )
Proceeds from issuance of common stock for non-controlling interest purchase
    14,300  
    -  
Net cash provided by financing activities
    38,720
 
    10,554  
 
       
       
Effect of exchange rate changes on cash and cash equivalents
    663
 
    (324 )
 
       
       
Net increase in cash and cash equivalents
    7,562  
    5,718  
Cash and cash equivalents at beginning of period
    10,119  
    4,401  
Cash and cash equivalents at end of period
  $ 17,681  
  $ 10,119  
 
       
       
Supplemental disclosure of cash flow information:
       
       
Interest paid
  $ 277  
  $ 181  
 
       
       
Non-cash financing activities:
       
       
Debt conversion to Class A common stock
    -  
  $ 1,486  
Debt conversion to Series F convertible preferred stock
    -  
  $ 119  
Exercise of stock option in lieu of the cash repayment of notes payable
    -  
  $ 174  
Preferred stock conversion to common stock in connection with initial public offering
  $ 23,834  
    -  
 
The accompanying notes are an integral part of these consolidated financial statements.
 
 
ACM RESEARCH, INC.
Notes to Consolidated Financial Statements
(in thousands, except share and per share data)
 
NOTE 1 – DESCRIPTION OF BUSINESS
 
ACM Research, Inc. (“ACM”) and its subsidiaries (collectively with ACM, the “Company”) develop, manufacture and sell single-wafer wet cleaning equipment used to improve the manufacturing process and yield for advanced integrated chips. The Company markets and sells, under the brand name “Ultra C,” lines of equipment based on the Company’s proprietary Space Alternated Phase Shift (“SAPS”) and Timely Energized Bubble Oscillation (“TEBO”) technologies. These tools are designed to remove random defects from a wafer surface efficiently, without damaging the wafer or its features, even at increasingly advanced process nodes.
 
ACM was incorporated in California in 1998, and it initially focused on developing tools for manufacturing process steps involving the integration of ultra low-K materials and copper. The Company’s early efforts focused on stress-free copper-polishing technology, and it sold tools based on that technology in the early 2000s.
 
In 2006 the Company established its operational center in Shanghai in the People’s Republic of China (the “PRC”), where it operates through ACM’s subsidiary ACM Research (Shanghai), Inc. (“ACM Shanghai”). ACM Shanghai was formed to help establish and build relationships with integrated circuit manufacturers in the PRC, and the Company financed its Shanghai operations in part through sales of non-controlling equity interests in ACM Shanghai.
 
In 2007 the Company began to focus its development efforts on single-wafer wet-cleaning solutions for the front-end chip fabrication process. The Company introduced its SAPS megasonic technology, which can be applied in wet wafer cleaning at numerous steps during the chip fabrication process, in 2009. It introduced its TEBO technology, which can be applied at numerous steps during the fabrication of small node two-dimensional conventional and three-dimensional patterned wafers, in March 2016. The Company has designed its equipment models for SAPS and TEBO solutions using a modular configuration that enables it to create a wet-cleaning tool meeting the specific requirements of a customer, while using pre-existing designs for chamber, electrical, chemical delivery and other modules. The Company also offers a range of custom-made equipment, including cleaners, coaters and developers, to back-end wafer assembly and packaging factories, principally in the PRC.
 
In 2011 ACM Shanghai formed a wholly owned subsidiary in the PRC, ACM Research (Wuxi), Inc. (“ACM Wuxi”), to manage sales and service operations.
 
In November 2016 ACM redomesticated from California to Delaware pursuant to a merger in which ACM Research, Inc., a California corporation, was merged into a newly formed, wholly owned Delaware subsidiary, also named ACM Research, Inc.
 
In June 2017 ACM formed a wholly owned subsidiary in Hong Kong, CleanChip Technologies Limited (“CleanChip”), to act on the Company’s behalf in Asian markets outside the PRC by, for example, serving as a trading partner between ACM Shanghai and its customers, procuring raw materials and components, performing sales and marketing activities, and making strategic investments.
 
In August 2017 ACM purchased 18.77% of ACM Shanghai’s equity interests held by Shanghai Science and Technology Venture Capital Co., Ltd.("SSTVC"). On November 8, 2017, ACM purchased the remaining 18.36% of ACM Shanghai’s equity interest held by Shanghai Pudong High-Tech Investment Co., Ltd. (“PDHTI”) and Shanghai Zhangjiang Science & Technology Venture Capital Co., Ltd. (“ZSTVC”). At December 31, 2017 and 2016, respectively, ACM owned 100% and 62.87% of the outstanding equity interests of ACM Shanghai, and indirectly through ACM Shanghai, owned 100% and 62.87% of the outstanding equity interests of ACM Wuxi, respectively.
 
On September 13, 2017, ACM effectuated a 1-for-3 reverse stock split (the “Reverse Split”) of Class A and Class B common stock. Unless otherwise indicated, all share numbers, per share amount, share prices, exercise prices and conversion rates set forth in those notes and the accompanying condensed consolidated financial statements have been adjusted retrospectively to reflect the Reverse Split.
 
 
On November 2, 2017, the Registration Statement on Form S-1 (File No. 333- 220451) for our initial public offering of Class A common stock, or IPO, was declared effective by the SEC. Shares of Class A common stock began trading on the Nasdaq Global Market on November 3, 2017.
 
In December 2017 ACM formed a wholly owned subsidiary in Republic of Korea, ACM Research Korea CO., LTD. (“ACM Korea”), to serve our customers based in Republic of Korea and perform sales, marketing, research and delveopment activities. ACM Korea has not yet commenced its operation during the year ended December 31, 2017.
 
NOTE 2 – SUMMARY OF SIGNIFICANT ACCOUNTING POLICIES
 
Basis of Presentation and Principles of Consolidation
 
The accompanying consolidated financial statements of the Company have been prepared in accordance with accounting principles generally accepted in the United States of America (“GAAP”). The consolidated accounts include ACM and its subsidiaries, ACM Shanghai, ACM Wuxi, CleanChip and ACM Korea . Subsidiaries are those entities in which ACM, directly and indirectly, controls more than one half of the voting power. All significant intercompany transactions and balances have been eliminated upon consolidation.
 
Use of Estimates
 
The preparation of consolidated financial statements in conformity with GAAP requires management to make estimates and assumptions that affect the reported amounts of assets and liabilities and disclosure of contingent assets and liabilities at the balance sheet date and the reported revenues and expenses during the reported period in the consolidated financial statements and accompanying notes. The Company’s significant accounting estimates and assumptions include, but are not limited to, those used for the valuation and recognition of stock-based compensation arrangements and warrant liability, realization of deferred tax assets, assessment for impairment of long-lived assets, allowance for doubtful accounts, inventory valuation for excess and obsolete inventories, lower of cost and market value or net realizable value of inventories, depreciable lives of property and equipment, and useful life of intangible assets.
 
Management evaluates these estimates and assumptions on a regular basis. Actual results could differ from those estimates and assumptions.
 
Cash and Cash Equivalents
 
Cash and cash equivalents consist of cash on hand, bank deposits that are unrestricted as to withdrawal and use, and highly liquid investments with an original maturity date of three months or less at the date of purchase. At times, cash deposits may exceed government-insured limits.
 
Accounts Receivable
 
Accounts receivable are presented net of an allowance for doubtful accounts. The Company reviews its accounts receivable on a periodic basis and makes general and specific allowances when there is doubt as to the collectability of individual balances. In evaluating the collectability of individual receivable balances, the Company considers many factors, including the age of the balance, a customer’s historical payment history and credit worthiness, and current economic trends. Accounts are written off after all collection efforts have been exhausted. At December 31, 2017 and 2016, the Company, based on a review of its outstanding balances and its customers, determined no allowance for doubtful accounts was necessary.
 
Inventory
 
Inventory consists of raw materials and related goods, work-in-progress, finished goods, and other consumable materials such as spare parts. Finished goods typically are shipped from the Company’s warehouse within one month of completion.
 
Inventory was recorded at the lower of cost or net realizable value at December 31, 2017 and 2016.
 
● 
The cost of a general inventory item is determined using the weighted moving average method. Under the weighted moving average method, the Company calculates the new average price of all items of a particular inventory stock each time one or more items of that stock are purchased. The then-current average price of the stock is used for purposes of determining cost of inventory or cost of revenue. The cost of an inventory item purchased specifically for a customized product is determined using the specific identification method. Low-cost consumable materials and packaging materials are expensed as incurred.
 
 
● 
Net realizable value is the estimated selling price, in the ordinary course of business, less estimated costs to complete or dispose.
 
The Company assesses the recoverability of all inventories quarterly to determine if any adjustments are required. Potential excess or obsolete inventory is written off based on management’s analysis of inventory levels and estimates of future 12-month demand and market conditions.
 
Property, Plant and Equipment, Net
 
Property, plant and equipment are recorded at cost less accumulated depreciation and any provision for impairment in value. Depreciation begins when the asset is placed in service and is calculated by using the straight-line method over the estimated useful life of an asset (or, if shorter, over the lease term). Betterments or renewals are capitalized when incurred. Plant, property and equipment is reviewed each year to determine whether any events or circumstances indicate that the carrying amount of the assets may not be recoverable.
 
Estimated useful lives of assets in the United States are as follows:
 
 
 
Computer and office equipment
3 to 5 years
Furniture and fixtures
5 years
Leasehold improvements
shorter of lease term or estimated useful life
 
ACM’s subsidiaries follow regulations for depreciation of fixed assets implemented under the PRC’s Enterprise Income Tax Law, which state that the minimum useful lives used for calculating depreciation for fixed assets are as follows:
 
 
 
Manufacturing equipment
for small to medium-sized equipment, 5 years; for large equipment, estimated by purchasing department at time of acceptance
Furniture and fixtures
5 years
Transportation equipment
4 to 5 years
Electronic equipment
3 years
Leasehold improvements
remaining lease term for improvements on leased fixed assets or, for large improvements, estimated useful life; not less than 3 years for non-fixed asset repairs
 
Expenditures for maintenance and repairs that neither materially add to the value of the property nor appreciably prolong the life of the property are charged to expense as incurred. Upon retirement or sale of an asset, the cost of the asset and the related accumulated depreciation are eliminated from the accounts and any resulting gain or loss is credited or charged to income.
 
Intangible Assets, Net
 
Intangible assets consist of software used for finance, manufacturing, and research and development purposes. Assets are valued at cost at the time of acquisition and are amortized over their beneficial periods. If a contract specifies a beneficial period, then the intangible asset is amortized over a term not exceeding the beneficial period. If the contract does not specify a beneficial period, then the intangible asset is amortized over a term not exceeding the valid period specified by local law. If neither the contract nor local law specifies a beneficial period, then the intangible asset is amortized over a period of up to 10 years. Currently, the software that the Company uses is amortized over a two-year period in accordance with the policy described above.
 
 
Valuation of Long-Lived Assets
 
Long-lived assets are evaluated for impairment whenever events or changes in circumstance indicate that the carrying value of the assets may not be fully recoverable or that the useful life of the assets is shorter than the Company had originally estimated. When these events or changes occur, the Company evaluates the impairment of the long-lived assets by comparing the carrying value of the assets to an estimate of future undiscounted cash flows expected to be generated from the use of the assets and their eventual disposition. If the sum of the expected future undiscounted cash flow is less than the carrying value of the assets, the Company recognizes an impairment loss based on the excess of the carrying value over the fair value. No impairment charge was recognized for either of the periods presented.
 
Leases
 
Each lease is classified at the inception date as either a capital lease or an operating lease. For the lessee, a lease is a capital lease if any of the following conditions exist: (a) ownership is transferred to the lessee by the end of the lease term; (b) there is a bargain purchase option; (c) the lease term is at least 75% of the property’s estimated remaining economic life; or (d) the present value of the minimum lease payments at the beginning of the lease term is 90% or more of the fair value of the leased property to the leasor at the inception date. A capital lease is accounted for as if there was an acquisition of an asset and an incurrence of an obligation at the inception of the lease. All other leases are accounted for as operating leases. Payments made under operating leases are charged to the consolidated statements of operations and comprehensive income on a straight-line basis over the terms of underlying lease. The Company had no capital lease for either of the periods presented.
 
Redeemable Convertible Preferred Stock
 
The Company recorded each series of convertible preferred stock at fair value on the date of issuance, net of issuance costs. The convertible preferred stock is recorded outside of stockholders’ equity (deficit) because, in the event of certain deemed liquidation events considered not solely within the Company’s control (such as a merger, acquisition, or sale of all or substantially all of the Company’s assets), the convertible preferred stock will become redeemable at the option of the holders. The Company has not adjusted the carrying value of any series of convertible preferred stock to the liquidation preference of such series because it is uncertain whether or when an event would occur that would obligate the Company to pay the liquidation preferences to holders of convertible preferred stock. Subsequent adjustments to the carrying values to the liquidation preferences will be made only when it becomes probable that such a liquidation event will occur.
 
Revenue Recognition
 
The Company recognizes revenue when all the following conditions are met:
 
● 
there is persuasive evidence of an arrangement;
 
● 
the product delivery has occurred and the Company has transferred major risks and remunerations over the ownership of the product to the buyer or a service has been fully rendered and completed;
 
● 
the collection of the receivable is probable; and
 
● 
the amount of the payment is fixed or determinable.
 
The Company derives revenue principally from sales of semiconductor capital equipment. In general, the Company recognizes revenue when the product has been demonstrated to meet the predetermined specifications and is accepted by the customer. If terms of the sale provide for a lapsing customer acceptance period, the Company recognizes revenue upon the earlier of the expiration of the lapsing acceptance period and customer acceptance. In the following circumstances, however, the Company recognizes revenue upon shipment or delivery, when the legal title of the product is passed to a customer:
 
 
● 
when the customer has previously accepted the same tool with the same specifications and when the Company can objectively demonstrate that the tool meets all of the required acceptance criteria;
 
● 
when the sales contract or purchase order contains no acceptance agreement or no lapsing acceptance provision and when the Company can objectively demonstrate that the tool meets all of the required acceptance criteria;
 
● 
when the customer withholds acceptance due to issues unrelated to product performance, in which case revenue is recognized when the system is performing as intended and meets predetermined specifications; or
 
● 
the Company’s sales arrangements do not include a general right of return.
 
Customization, production, installation and delivery are essential elements of the functionality of a delivered machine; the services offered, principally the warranty, are not essential to the functionality of the machine. The Company treats the customization, production, installation and delivery of machines, together with the provision of related warranty and other services, as a single unit of accounting in accordance with Financial Accounting Standards Board (“FASB”) Accounting Standards Codification (“ASC”) Subtopic 605-25, Revenue Recognition – Multiple-Element Arrangements . All of the Company’s products were sold in stand-alone arrangements during the year ended December 31, 2017 and 2016.
 
After the warranty period has expired, the Company will also provide customers with post-warranty services, which mainly include the installation and replacement of parts and small-scale modifications to the existing products. The related revenue and costs are recognized as revenue and cost of revenue, respectively, when the parts have been delivered and installed, risk of loss has passed to the customer, and collection of the resulting receivable is probable.
 
Cost of Revenue
 
Cost of revenue primarily consists of: direct materials, comprised principally of parts used in assembling equipment, together with crating and shipping costs; direct labor, including salaries and other labor related expenses attributable to the Company’s manufacturing department; and allocated overhead cost, such as personnel cost, depreciation expense, and allocated administrative costs associated with supply chain management and quality assurance activities, as well as shipping insurance premiums.
 
Research and Development Costs
 
Research and development costs relating to the development of new products and processes, including significant improvements and refinements to existing products or to the process of supporting customer evaluations of tools, including the development of new tools for evaluation by customers during the product demonstration process, are expensed as incurred.
 
Shipping and Handling Costs
 
Shipping and handling costs, which relate to transportation of products to customer locations, are charged to selling and marketing expense. For the year ended December 31, 2017 and 2016, shipping and handling costs included in sales and marketing expenses were $139 and $75, respectively.
 
Borrowing Costs
 
Borrowing costs attributable directly to the acquisition, construction or production of qualifying assets that require a substantial period of time to be ready for their intended use or sale are capitalized as part of the cost of those assets. Income earned on temporary investments of specific borrowings pending their expenditure on those assets is deducted from borrowing costs capitalized. All other borrowing costs are recognized in interest expenses in the consolidated statements of operations and comprehensive income in the period in which they are incurred. No borrowing costs were capitalized for the year ended December 31, 2017 or 2016.
 
 
Warranty
 
For each of its products, the Company generally provides a warranty ranging from 12 to 36 months and covering replacement of the product during the warranty period. The Company accounts for the estimated warranty costs as sales and marketing expenses at the time revenue is recognized. Warranty obligations are affected by historical failure rates and associated replacement costs. Utilizing historical warranty cost records, the Company calculates a rate of warranty expenses to revenue to determine the estimated warranty charge. The Company updates these estimated charges on a regular basis. The following table shows changes in the Company’s warranty obligations for the year ended December 31, 2017 and 2016, respectively.
 
 
 
Year Ended December 31,
 
 
 
2017
 
 
2016
 
Balance at beginning of period
  $ 290  
  $ 459  
Additions
    736  
    544  
Utilized
    (187 )
    (713 )
Balance at end of period
  $ 839  
  $ 290  
 
Government Subsidies
 
ACM Shanghai has been awarded three subsidies from local and central governmental authorities in the PRC. The first subsidy, which was awarded in October 2008, relates to the development and commercialization of 65-45 nanometer Stress Free Polishing technology. The second subsidy was awarded in April 2009 to fund interest expenses for short-term borrowings. The third subsidy was awarded in January 2014 and relates to the development of Electro Copper Plating technology. The PRC governmental authorities will provide the majority of the funding, although ACM Shanghai is also required to invest certain amounts in the projects.
 
The government subsidies contain certain operating conditions and therefore are recorded as long-term liabilities upon receipt. The grant amounts are recognized in the statements of operations and comprehensive income:
 
● 
Government subsidies relating to current expenses are recorded as reductions of those expenses in the periods in which the current expenses are recorded. For the years ended December 31, 2017 and 2016, related government subsidies recognized as reductions of relevant expenses in the consolidated statements of operations and comprehensive income were $3,421 and $6,244 respectively.
 
● 
Government subsidies for short-term borrowings’ interest expenses are reported as reductions of interest expenses in the period the interest is accrued, which were $0 and $99 for the years ended December 31, 2017 and 2016.
 
● 
Government subsidies related to depreciable assets are credited to income over the useful lives of the related assets for which the grant was received. For the years ended December 31, 2017 and 2016, related government subsidies recognized as other income in the consolidated statements of operations and comprehensive income were $135 and $127, respectively.
 
Unearned government subsidies received are deferred for recognition and recorded as other long-term liabilities (note 10) in the balance sheet until the criteria for such recognition are satisfied.
 
Stock-based Compensation
 
ACM grants stock options to employees and non-employee consultants and directors and accounts for those stock-based awards in accordance with FASB ASC Topic 718, Compensation – Stock Compensation , and FASB ASC Subtopic 505-50, Equity-Based Payments to Non-Employees .
 
 
Stock-based awards granted to employees are measured at the fair value of the awards on the grant date and are recognized as expenses either (a) immediately on grant, if no vesting conditions are required or (b) using the graded vesting method, net of estimated forfeitures, over the requisite service period. The fair value of stock options is determined using the Black-Scholes valuation model. Stock-based compensation expense, when recognized, is charged to the category of operating expense corresponding to the employee’s service function.
 
Stock-based awards granted to non-employees are accounted for at the fair value of the awards at the earlier of (a) the date at which a commitment for performance by the non-employee to earn the awards is reached and (b) the date at which the non-employee’s performance is complete. The fair value of such non-employee awards is re-measured at each reporting date using the fair value at each period end until the vesting date. Changes in fair value between the reporting dates are recognized by the graded vesting method.
 
Operating and Financial Risks
 
Concentration of Credit Risk
 
Financial instruments that potentially subject to credit risk consist principally of cash and cash equivalents and accounts receivable. The Company deposits and invests its cash with financial institutions that management believes are creditworthy.
 
The Company is potentially subject to concentrations of credit risks in its accounts receivable. Four customers individually accounted for greater than ten percent of the Company’s revenue for the year ended 2017 and two of those customers individually accounted for greater than ten percent of the Company’s revenue in 2016:
 
 
 
Year ended December 31,
 
 
 
2017
 
 
2016
 
Customer A
    *  
    33.7 %
Customer B
    18.10 %
    25.00  
Customer C
    *  
    24.00  
Customer D
    12.77  
    16.60  
Customer E
    14.12  
    *  
Customer F
    10.23  
    *  
 
*   Customer accounted for less than 10% of revenue in the period.
 
Interest Rate Risk
 
As of December 31, 2017 and 2016, the balance of bank borrowings (note 6) was short-term in nature, matured at various dates within the following year and did not expose the Company to interest rate risk.
 
Liquidity Risk
 
The Company’s working capital at December 31, 2017 and 2016 was sufficient to meet its then-current requirements. The Company may, however, require additional cash due to changing business conditions or other future developments, including any investments or acquisitions the Company decides to pursue. In the long run, the Company intends to rely primarily on cash flows from operations and additional borrowings from financial institutions in order to meet its cash needs. If those sources are insufficient to meet cash requirements, the Company may seek to issue additional debt or equity.
 
Country Risk
 
The Company has significant investments in the PRC. The operating results of the Company may be adversely affected by changes in the political and social conditions in the PRC and by changes in Chinese government policies with respect to laws and regulations, anti-inflationary measures, currency conversion and remittance abroad, and rates and methods of taxation, among other things.
 
 
Foreign Currency Risk and Translation
 
The Company’s consolidated financial statements are presented in U.S. dollars, which is the Company’s reporting currency, while the functional currency of ACM’s subsidiaries is the Chinese Renminbi (“RMB”). Changes in the relative values of U.S. dollars and Chinese RMB affect the Company’s reported levels of revenues and profitability as the results of its operations are translated from RMB into U.S. dollars for reporting purposes. Because the Company has not engaged in any hedging activities, it cannot predict the impact of future exchange rate fluctuations on the results of its operations and it may experience economic losses as a result of foreign currency exchange rate fluctuations.
 
Transactions of ACM’s subsidiaries involving foreign currencies are recorded in functional currency according to the rate of exchange prevailing on the date when the transaction occurs. The ending balances of the Company’s foreign currency accounts are converted into functional currency using the rate of exchange prevailing at the end of each reporting period. Net gains and losses resulting from foreign exchange transactions are included in the consolidated statements of operations and comprehensive income. Total exchange gain (loss) was, respectively, $1,052 and $ (746) for the years ended December 31, 2017 and 2016.
 
In accordance with FASB ASC Topic 830, Foreign Currency Matters , the Company translates assets and liabilities into U.S. dollars from RMB using the rate of exchange prevailing at the applicable balance sheet date and the consolidated statements of operations and comprehensive income and consolidated statements of cash flows are translated at an average rate during the reporting period. Adjustments resulting from the translation are recorded in stockholders’ (deficit) equity as part of accumulated other comprehensive income (loss). Any differences between the initially recorded amount and the settlement amount are recorded as a gain or loss on foreign currency transaction in the consolidated statements of operations and comprehensive income.
 
Consolidated balance sheets:
 
At December 31, 2017
RMB 6.5359 to $1.00
At December 31, 2016
RMB 6.9348 to $1.00
 
 
Consolidated statements of operations and comprehensive income:
 
Year ended December 31, 2017
RMB 6.7522 to $1.00
Year ended December 31, 2016
RMB 6.6401 to $1.00
 
Translations of amounts from RMB into U.S. dollars were made at the following exchange rates for the respective dates and periods:
 
Income Taxes
 
The Company accounts for income taxes using the liability method whereby deferred tax asset and liability account balances are determined based on differences between the financial reporting and tax bases of assets and liabilities and are measured using the enacted tax rates and laws that will be in effect when the differences are expected to reverse. The Company provides a valuation allowance, if necessary, to reduce deferred tax assets to their estimated realizable values.
 
In evaluating the ability to recover its deferred income tax assets, the Company considers all available positive and negative evidence, including its operating results, ongoing tax planning and forecasts of future taxable income on a jurisdiction-by-jurisdiction basis. In the event the Company determines that it would be able to realize its deferred income tax assets in the future in excess of their net recorded amount, it would make an adjustment to the valuation allowance that would reduce the provision for income taxes. Conversely, in the event that all or part of the net deferred tax assets are determined not to be realizable in the future, an adjustment to the valuation allowance would be charged to earnings in the period such determination is made.
 
Tax benefits related to uncertain tax positions are recognized when it is more likely than not that a tax position will be sustained during an audit. Interest and penalties related to unrecognized tax benefits are included within the provision for income tax.
 
 
Basic and Diluted Net Income (Loss) per Common Share
 
Basic and diluted net income (loss) per common share is calculated as follows:
 
 
 
For the Year Ended
December 31,
 
 
 
2017
 
 
2016
 
Numerator:
 
 
 
 
 
 
Net income (loss)
  $ (872 )
  $ 2,387  
Net income (loss) attributable to non-controlling interest
    (554 )
    1,356  
Net income allocated to participating securities
    -  
    386  
Net income (loss) available to common stockholders, basic and diluted
  $ (318 )
  $ 645  
Denominator:
       
       
Weighted average shares outstanding, basic
    6,865,390  
    2,176,315  
Effect of dilutive securities
    -  
    1,615,822  
Weighted average shares outstanding, diluted
    6,865,390  
    3,792,137  
Net income (loss) per common share:
       
       
Basic
  $ (0.05 )
  $ 0.30  
Diluted
  $ (0.05 )
  $ 0.18  
 
Basic and diluted net income (loss) per common share is presented using the two-class method, which allocates undistributed earnings to common stock and any participating securities according to dividend rights and participation rights on a proportionate basis. Under the two-class method, basic net income (loss) per common share is computed by dividing the sum of distributed and undistributed earnings attributable to common stockholders by the weighted average number of shares of common stock outstanding during the period. Shares of ACM’s Series A, B, C, D, E and F convertible preferred stock are participating securities, as the holders are entitled to participate in and receive the same dividends as may be declared for common stockholders on a pro-rata, if-converted basis.
 
ACM has been authorized to issue Class A and Class B common stock since redomesticating in Delaware in November 2016. The two classes of common stock are substantially identical in all material respects, except for voting rights. Since ACM did not declare any dividends for year ended December 31, 2017 and 2016, the net income (loss) per common share attributable to each class is the same under the “two-class” method. As such, the two classes of common stock have been presented on a combined basis in the consolidated statements of operations and comprehensive income (loss) and in the above computation of net income (loss) per common share.
 
Diluted net income (loss) per common share reflects the potential dilution from securities that could share in ACM’s earnings. All potential dilutive securities, including potentially dilutive convertible preferred stocks and stock options, if any, were excluded from the computation of dilutive net loss per common share for the year ended December 31, 2017 and 2016, as their effects are antidilutive due to our net loss for those periods. The potentially dilutive securities that were not included in the calculation of diluted net income per share in the periods presented where their inclusion would be anti-dilutive are as follows:
 
 
 
 
Year ended
December 31,
 
 
 
2017
 
 
2016
 
Series A convertible preferred stock
    -  
    128,334  
Series B convertible preferred stock
    -  
    524,003  
Series C convertible preferred stock
    -  
    482,288  
Series D convertible preferred stock
    -  
    605,244  
Series F convertible preferred stock
    -  
    1,221,099  
Stock options
    3,372,292  
    1,424,596  
Warrants
  477,502  
    -  
 
    3,849,794  
    4,385,564  
 
Comprehensive Income (Loss) Attributable to the Company
 
The Company applies FASB ASC Topic 220, Comprehensive Income , which establishes standards for the reporting and display of comprehensive income or loss, requiring its components to be reported in a financial statement with the same prominence as other financial statements. The comprehensive income (loss) attributable to the Company was $(31) and $704 for the years ended December 31, 2017 and 2016, respectively.
 
Appropriated Retained Earnings
 
The income of ACM’s PRC subsidiaries is distributable to their shareholders after transfers to reserves as required under relevant PRC laws and regulations and the subsidiaries’ Articles of Association. As stipulated by the relevant laws and regulations in the PRC, the PRC subsidiaries are required to maintain reserves, including reserves for statutory surpluses and public welfare funds that are not distributable to shareholders. A PRC subsidiary’s appropriations to the reserves are approved by its board of directors. At least 10% of annual statutory after-tax profits, as determined in accordance with PRC accounting standards and regulations, is required to be allocated to the statutory surplus reserves. If the cumulative total of the statutory surplus reserves reaches 50% of a PRC subsidiary’s registered capital, any further appropriation is optional.
 
Statutory surplus reserves may be used to offset accumulated losses or to increase the registered capital of a PRC subsidiary, subject to approval from the relevant PRC authorities, and are not available for dividend distribution to the subsidiary’s shareholders. The PRC subsidiaries are prohibited from distributing dividends unless any losses from prior years have been offset. Except for offsetting prior years’ losses, however, statutory surplus reserves must be maintained at a minimum of 25% of share capital after such usage. No retained earnings of either PRC subsidiary had been appropriated to statutory surplus reserves as the PRC subsidiaries recorded accumulated losses as of December 31, 2017 and 2016.
 
Fair Value of Financial Instruments
 
Under the FASB’s authoritative guidance on fair value measurements, fair value is the price that would be received to sell an asset or paid to transfer a liability in an orderly transaction between market participants at the measurement date. In determining the fair value, the Company uses various methods including market, income and cost approaches. Based on these approaches, the Company often utilizes certain assumptions that market participants would use in pricing the asset or liability, including assumptions about risk and the risks inherent in the inputs to the valuation technique. These inputs can be readily observable, market corroborated or generally unobservable inputs. The Company uses valuation techniques that maximize the use of observable inputs and minimize the use of unobservable inputs. Based on observability of the inputs used in the valuation techniques, the Company is required to provide the following information according to the fair value hierarchy. The fair value hierarchy ranks the quality and reliability of the information used to determine fair values. Financial assets and liabilities carried at fair value are classified and disclosed in one of the following three categories:
 
Level 1: Valuations for assets and liabilities traded in active exchange markets. Valuations are obtained from readily available pricing sources for market transactions involving identical assets or liabilities.
 
Level 2: Valuations for assets and liabilities traded in less active dealer or broker markets. Valuations are obtained from third party pricing services for identical or similar assets or liabilities.
 
 
Level 3: Valuations for assets and liabilities that are derived from other valuation methodologies, including option pricing models, discounted cash flow models and similar techniques, and not based on market exchange, dealer or broker traded transactions. Level 3 valuations incorporate certain unobservable assumptions and projections in determining the fair value assigned to such assets.
 
All transfers between fair value hierarchy levels are recognized by the Company at the end of each reporting period. In certain cases, the inputs used to measure fair value may fall into different levels of the fair value hierarchy. In such cases, an investment’s level within the fair value hierarchy is based on the lowest level of input that is significant to the fair value measurement in its entirety requires judgment, and considers factors specific to the investment. The inputs or methodology used for valuing financial instruments are not necessarily an indication of the risks associated with investment in those instruments.
 
Fair Value Measured or Disclosed on a Recurring Basis
 
Short-term borrowings —Interest rates under the borrowing agreements with the lending parties were determined based on the prevailing interest rates in the market. The Company classifies the valuation techniques that use these inputs as Level 2 fair value measurement.
 
Warrant liability —The fair value of the warrant liability derives from the Black-Scholes valuation model which incorporates certain unobservable assumptions (note 9). The Company classifies the valuation techniques that use these inputs as Level 3 fair value measurement.
 
Other financial items for disclosure purpose —The fair value of other financial items of the Company for disclosure purpose, including cash and cash equivalents, accounts receivable, other receivables, prepaid expenses, other current assets, notes payable, investors’ deposits, accounts payable, advances from customers, income taxes payable, and other payables and accrued expenses, approximate their carrying value due to their short-term nature.
 
As of December 31, 2017 and 2016, information about inputs into the fair value measurement of the Company’s liabilities that are measured and recorded at fair value on a recurring basis in periods subsequent to their initial recognition is as follows:
 
 
 
Fair Value Measurement at Reporting Date Using
 
 
 
Quoted Prices in Active Markets for Identical Liabilities (Level 1)
 
 
Significant Other Observable Inputs (Level 2)
 
 
Significant Unobservable Inputs (Level 3)
 
 
Total
 
 
 
(in thousands)
 
As of December 31, 2017 :
 
 
 
 
 
 
 
 
 
 
 
 
Liabilities:
 
 
 
 
 
 
 
 
 
 
 
 
Short-term borrowings
  $  
  $ 5,095  
  $  
  $ 5,095  
Warrant liability
     
     
    3,079  
    3,079  
 
       
       
       
       
 
     
    5,095  
    3,079  
    8,174  
 
As of December 31, 2016 :
       
       
       
       
Liabilities:
       
       
       
       
Short-term borrowings
  $  
  $ 4,761  
  $  
  $ 4,761  
 
 
Fair Value Measured on a Non-Recurring Basis
 
The Company reviews long-lived assets for impairment annually or more frequently if events or changes in circumstances indicate the possibility of impairment. Long-lived assets are measured at fair value on a nonrecurring basis when there is an indicator of impairment, and they are recorded at fair value only when impairment is recognized. In determining the fair value, the Company used projections of cash flows directly associated with, and which are expected to arise as a direct result of, the use and eventual disposition of the assets. This approach required significant judgments including the Company’s projected net cash flows, which were derived using the most recent available estimate for the reporting unit containing the assets tested. Several key assumptions included periods of operation, projections of product pricing, production levels, product costs, market supply and demand, and inflation.
 
Recent Accounting Pronouncements
 
Accounting Pronouncements Recently Adopted in the Consolidated Financial Statements for the Year ended December 31, 2017
 
In April 2016, the FASB issued Accounting Standards Update (“ASU”) No. 2016-09, Compensation—Stock Compensation (Topic 718): Improvements to Employee Share-Based Payment Accounting , which simplifies several aspects of the accounting for employee stock-based payment transactions. The areas for simplification in ASU No. 2016-09 include the income tax consequences, classification of awards as either equity or liabilities, and classification on the statement of cash flows. The amendments in this ASU were effective for annual periods beginning after December 15, 2016 and interim periods within those annual periods. The adoption of ASU No. 2016-09 did not have a material impact on the Company’s consolidated financial statements.
 
In November 2015, the FASB issued ASU No. 2015-17, Income Taxes (Topic 740): Balance Sheet Classification of Deferred Taxes . Topic 740, Income Taxes , requires an entity to separate deferred income tax liabilities and assets into current and noncurrent amounts in a classified statement of financial position. Deferred tax liabilities and assets are classified as current or noncurrent based on the classification of the related asset or liability for financial reporting. Deferred tax liabilities and assets that are not related to an asset or liability for financial reporting are classified according to the expected reversal date of the temporary difference. To simplify the presentation of deferred income taxes, the amendments in ASU No. 2015-17 require that deferred income tax liabilities and assets be classified as noncurrent in a classified statement of financial position. For public business entities, the amendments in this update are effective for financial statements issued for annual periods beginning after December 15, 2016, and interim periods within those annual periods. The adoption of ASU No. 2015-17 did not have a material impact on the Company’s consolidated financial statements.
 
In July 2015, the FASB issued ASU No. 2015-11,  Inventory (Topic 330): Simplifying the Measurement of Inventory . The amendments in this update require an entity to measure inventory within the scope of ASU No. 2015-11 (the amendments in ASU No. 2015-11 do not apply to inventory that is measured using last-in, first-out or the retail inventory method. The amendments apply to all other inventory, which includes inventory that is measured using first-in, first-out or average cost) at the lower of cost and net realizable value. Net realizable value is the estimated selling prices in the ordinary course of business, less reasonably predictable costs of completion, disposal, and transportation. Subsequent measurement is uncharged for inventory measured using last-in, first-out or the retail inventory method. The amendments in ASU No. 2015-11 more closely align the measurement of inventory in GAAP with the measurement of inventory in International Financial Reporting Standards. ASU No. 2015-11 is effective for public business entities for fiscal years beginning after December 15, 2016, including interim periods within those fiscal years. The amendments in ASU No. 2015-11 should be applied prospectively with earlier application permitted as of the beginning of an interim or annual reporting period. The adoption of ASU No. 2015-11 did not have a material impact on the Company’s consolidated financial statements. The relevant descriptions have been included in the inventory accounting policy.
 
In August 2014, the FASB issued ASU No. 2014-15, Presentation of Financial Statements—Going Concern . The amendments in this update require management to evaluate whether there are conditions and events that raise substantial doubt about an entity’s ability to continue as a going concern for both annual and interim reporting. The guidance is effective for the Company for the annual period ended after December 15, 2016 and interim periods thereafter. Management performed an evaluation of the Company’s ability to fund operations and to continue as a going concern according to ASC Topic 205-40, Presentation of Financial Statements—Going Concern . The adoption of ASU No. 2014-15 did not have a material impact on the Company’s consolidated financial statements.
 
 
Recent Accounting Pronouncements Not Yet Adopted
 
In February 2018, the FASB issued ASU No. 2018-02, Income Statement—Reporting Comprehensive Income (Topic 220): Reclassification of Certain Tax Effects from Accumulated Other Comprehensive Income (“ASU 2018-02”) , which provides financial statement preparers with an option to reclassify stranded tax effects within accumulated other comprehensive income to retained earnings in each period in which the effect of the change in the U.S. federal corporate income tax rate in the Tax Cuts and Jobs Act (or portion thereof) is recorded. The amendments in this ASU are effective for all entities for fiscal years beginning after December 15, 2018, and interim periods within those fiscal years. Early adoption of ASU 2018-02 is permitted, including adoption in any interim period for the public business entities for reporting periods for which financial statements have not yet been issued. The amendments in this ASU should be applied either in the period of adoption or retrospectively to each period (or periods) in which the effect of the change in the U.S. federal corporate income tax rate in the Tax Cuts and Jobs Act is recognized. The Company is currently evaluating the impact of the adoption of ASU No. 2018-02 on its consolidated financial statements.
 
In July 2017, the FASB issued ASU No. 2017-11, Earnings Per Share (Topic 260); Distinguishing Liabilities from Equity (Topic 480); Derivatives and Hedging (Topic 815): (Part I) Accounting for Certain Financial Instruments with Down Round Features, (Part II) Replacement of the Indefinite Deferral for Mandatorily Redeemable Financial Instruments of Certain Nonpublic Entities and Certain Mandatorily Redeemable Noncontrolling Interests with a Scope Exception , which addresses the complexity of accounting for certain financial instruments with down round features. Down round features are features of certain equity-linked instruments (or embedded features) that result in the strike price being reduced on the basis of the pricing of future equity offerings. Current accounting guidance creates cost and complexity for entities that issue financial instruments (such as warrants and convertible instruments) with down round features that require fair value measurement of the entire instrument or conversion option. For public business entities, the amendments in Part I of this Update are effective for fiscal years, and interim periods within those fiscal years, beginning after December 15, 2018. For all other entities, the amendments in Part I of this Update are effective for fiscal years beginning after December 15, 2019, and interim periods within fiscal years beginning after December 15, 2020. The Company is currently evaluating the impact of the adoption of ASU No. 2017-11 on its consolidated financial statements.
 
In May 2017, the FASB issued ASU No. 2017-09, Compensation—Stock Compensation (Topic 718): Scope of Modification Accounting , which provides guidance on determining which changes to the terms and conditions of share-based payment awards require an entity to apply modification accounting under Topic 718. The amendments in this ASU are effective for all entities for annual periods, and interim periods within those annual periods, beginning after December 15, 2017. Early adoption is permitted, including adoption in any interim period, for (1) public business entities for reporting periods for which financial statements have not yet been issued and (2) all other entities for reporting periods for which financial statements have not yet been made available for issuance. The amendments in this ASU should be applied prospectively to an award modified on or after the adoption date. The Company does not expect the adoption of ASU No. 2017-09 to have a material impact on its consolidated financial statements.
 
In February 2017, the FASB issued ASU No. 2017-05, Other Income—Gains and Losses from the Derecognition of Nonfinancial Assets (Subtopic 610-20): Clarifying the Scope of Asset Derecognition Guidance and Accounting for Partial Sales of Nonfinancial Assets , which clarifies the scope of nonfinancial asset guidance in Subtopic 610-20. This ASU also clarifies that derecognition of all businesses and nonprofit activities (except those related to conveyances of oil and gas mineral rights or contracts with customers) should be accounted for in accordance with the derecognition and deconsolidation guidance in Subtopic 810-10. The amendments in this ASU also provide guidance on the accounting for so-called “partial sales” of nonfinancial assets within the scope of Subtopic 610-20 and contributions of nonfinancial assets to a joint venture or other noncontrolled investee. The amendments in this ASU are effective for annual reporting reports beginning after December 15, 2017, including interim reporting periods within that reporting period. The Company does not expect the adoption of ASU No. 2017-05 to have a material impact on its consolidated financial statements.
 
 
In January 2017, the FASB issued ASU No. 2017-04, Intangibles—Goodwill and Other (Topic 350): Simplifying the Test for Goodwill Impairment , which removes Step 2 from the goodwill impairment test. An entity will apply a one-step quantitative test and record the amount of goodwill impairment as the excess of a reporting unit’s carrying amount over its fair value, not to exceed the total amount of goodwill allocated to the reporting unit. The new guidance does not amend the optional qualitative assessment of goodwill impairment. A business entity that is a U.S. Securities and Exchange Commission filer must adopt the amendments in this ASU for its annual or any interim goodwill impairment test in fiscal years beginning after December 15, 2019. Early adoption is permitted for interim or annual goodwill impairment tests performed on testing dates after January 1, 2017. The Company is currently evaluating the impact of the adoption of ASU 2017-04 on its consolidated financial statements.
 
In November 2016, the FASB issued ASU No. 2016-18, Statement of Cash Flows (Topic 230): Restricted Cash , which requires that a statement of cash flows explain the change during the period in the total of cash, cash equivalents, and amounts generally described as restricted cash or restricted cash equivalents. Therefore, amounts generally described as restricted cash and restricted cash equivalents should be included with cash and cash equivalents when reconciling the beginning-of-period and end-of-period total amounts shown on the statement of cash flows. The amendments in this ASU do not provide a definition of restricted cash or restricted cash equivalents. The amendments in this ASU are effective for public business entities for fiscal years beginning after December 15, 2017, and interim periods within those fiscal years. Early adoption is permitted, including adoption in an interim period. The Company does not expect the adoption of ASU No. 2016-18 to have a material impact on its consolidated financial statements.
 
In August 2016, the FASB issued ASU No. 2016-15, Statement of Cash Flows (Topic 230): Classification of Certain Cash Receipts and Cash Payments , which addresses the following cash flow issues: (1) debt prepayment or debt extinguishment costs; (2) settlement of zero-coupon debt instruments or other debt instruments with coupon interest rates that are insignificant in relation to the effective interest rate of the borrowing; (3) contingent consideration payments made after a business combination; (4) proceeds from the settlement of insurance claims; (5) proceeds from the settlement of corporate-owned life insurance policies, including bank-owned life insurance policies; (6) distributions received from equity method investees; (7) beneficial interests in securitization transactions; and (8) separately identifiable cash flows and application of the predominance principle. The amendments in this ASU are effective for public business entities for fiscal years beginning after December 15, 2017 and interim periods within those fiscal years and are effective for all other entities for fiscal years beginning after December 15, 2018 and interim periods within fiscal years beginning after December 15, 2019. Early adoption is permitted, including adoption in an interim period. The Company is currently evaluating the impact of the adoption of ASU No. 2016-15 on its consolidated financial statements.
 
In February 2016, the FASB issued ASU No. 2016-02, Leases (Topic 842) . The amendments in this update create Topic 842, Leases , and supersede the leases requirements in Topic 840, Leases . Topic 842 specifies the accounting for leases. The objective of Topic 842 is to establish the principles that lessees and lessors shall apply to report useful information to users of financial statements about the amount, timing, and uncertainty of cash flows arising from a lease. The main difference between Topic 842 and Topic 840 is the recognition of lease assets and lease liabilities for those leases classified as operating leases under Topic 840. Topic 842 retains a distinction between finance leases and operating leases. The classification criteria for distinguishing between finance leases and operating leases are substantially similar to the classification criteria for distinguishing between capital leases and operating leases in the previous leases guidance. The result of retaining a distinction between finance leases and operating leases is that under the lessee accounting model in Topic 842, the effect of leases in the statement of comprehensive income and the statement of cash flows is largely unchanged from previous GAAP. The amendments in ASU No. 2016-02 are effective for fiscal years beginning after December 15, 2018, including interim periods within those fiscal years for public business entities. Early application of the amendments in ASU No. 2016-02 is permitted. The Company is currently in the process of evaluating the impact of the adoption of ASU No. 2016-02 on its consolidated financial statements.
 
 
In May 2014, the FASB issued ASU No. 2014-09, Revenue from Contracts with Customers (Topic 606) . ASU No. 2014-09 supersedes the revenue recognition requirements in “Revenue Recognition (Topic 605)”, and requires entities to recognize revenue when it transfers promised goods or services to customers in an amount that reflects the consideration to which the entity expects to be entitled to in exchange for those goods or services. The FASB issued ASU No. 2015-14, Revenue from Contracts with Customers (Topic 606): Deferral of the Effective Date in August 2015. The amendments in ASU No. 2015-14 defer the effective date of ASU No. 2014-09. Public business entities, certain not-for-profit entities, and certain employee benefit plans should apply the guidance in ASU No. 2014-09 to annual reporting periods beginning after December 15, 2017, including interim reporting periods within that reporting period. Earlier adoption is permitted only as of annual reporting periods beginning after December 15, 2016, including interim reporting periods within that reporting period. Further to ASU No. 2014-09 and ASU No. 2015-14, the FASB issued ASU No. 2016-08, Revenue from Contracts with Customers (Topic 606): Principal versus Agent Considerations (Reporting Revenue Gross versus Net) in March 2016, ASU No. 2016-10, Revenue from Contracts with Customers (Topic 606): Identifying Performance Obligations and Licensing in April 2016, ASU No. 2016-12, Revenue from Contracts with Customers (Topic 606): Narrow-Scope Improvements and Practical Expedients , and ASU No. 2016-20, Technical Corrections and Improvements to Topic 606, Revenue from Contracts with Customers , respectively. The amendments in ASU No. 2016-08 clarify the implementation guidance on principal versus agent considerations, including indicators to assist an entity in determining whether it controls a specified good or service before it is transferred to the customers. ASU No. 2016-10 clarifies guideline related to identifying performance obligations and licensing implementation guidance contained in the new revenue recognition standard. The updates in ASU No. 2016-10 include targeted improvements based on input the FASB received from the Transition Resource Group for Revenue Recognition and other stakeholders. It seeks to proactively address areas in which diversity in practice potentially could arise, as well as to reduce the cost and complexity of applying certain aspects of the guidance both at implementation and on an ongoing basis. ASU No. 2016-12 addresses narrow-scope improvements to the guidance on collectability, non-cash consideration, and completed contracts at transition. Additionally, the amendments in this ASU provide a practical expedient for contract modifications at transition and an accounting policy election related to the presentation of sales taxes and other similar taxes collected from customers. The amendments in ASU No. 2016-20 represents changes to make minor corrections or minor improvements to the Codification that are not expected to have a significant effect on current accounting practice or create a significant administrative cost to most entities. The effective date and transition requirements for ASU No. 2016-08, ASU No. 2016-10, ASU No. 2016-12 and ASU No. 2016-20 are the same as ASU No. 2014-09. The Company will adopt ASU No. 2014-09, ASU No. 2016-08, ASU No. 2016-10, ASU No. 2016-12 and ASU No. 2016-20 at January 1, 2018. The Company has substantially completed the implementation of these ASUs and has identified the necessary changes to its policies, business processes, systems and controls. Whilst the Company has finalized the analysis of its revenue contracts applying the above guidance, and will adopt FASB ASC Topic 606, Revenue from Contracts with Customers , effective January 1, 2018, using the modified retrospective transition approach. Under this approach, FASB ASC Topic 606 would apply to all new contracts initiated on or after January 1, 2018. For existing contracts that have remaining obligations as of January 1, 2018, any difference between the recognition criteria in these ASUs and the Company's current revenue recognition practices would be recognized using a cumulative effect adjustment to the opening balance of accumulated deficit. The Company has concluded that its revenue recognition will remain the same as previously reported and will not have material impacts to its consolidated financial statements.
 
NOTE 3 – ACCOUNTS RECEIVABLE
 
At December 31, 2017 and 2016, accounts receivable consisted of the following:
 
 
 
December 31,
 
 
 
2017
 
 
2016
 
Accounts receivable
  $ 26,762  
  $ 16,026  
Less: Allowance for doubtful accounts
     
     
Total
  $ 26,762  
  $ 16,026  
 
The Company reviews accounts receivable on a periodic basis and makes general and specific allowances when there is doubt as to the collectability of individual balances. No allowance for doubtful accounts is considered necessary at December 31, 2017 and 2016. At December 31, 2017, accounts receivable of $1,805 (RMB 11,800) was pledged as collateral for borrowings from financial institutions (note 6).
 
 
  NOTE 4 – INVENTORY
 
At December 31, 2017 and 2016, inventory consisted of the following:
 
 
 
December 31,
 
 
 
2017
 
 
2016
 
Raw materials
  $ 6,181
  $ 7,698  
Work in process
    4,328  
    1,260  
Finished goods
  4,879
    2,708  
 
       
       
Total inventory, gross
    15,388  
    11,666  
Inventory reserve
     
     
Total inventory, net
  $ 15,388  
  $ 11,666  
 
The Company did not set up any inventory reserve as of December 31, 2017 or 2016 and no inventory was pledged as collateral for borrowings from financial institutions.
 
NOTE 5 – PROPERTY, PLANT AND EQUIPMENT, NET
 
At December 31, 2017 and 2016, property, plant and equipment consisted of the following:
 
 
 
December 31,
 
 
 
2017
 
 
2016
 
Manufacturing equipment
  $ 9,660  
  $ 8,566  
Office equipment
    463  
    410  
Transportation equipment
    203  
    191  
Leasehold improvement
    277  
    224  
Total cost
    10,603  
    9,391  
Less: Total accumulated depreciation
    (8,263 )
    (7,562 )
Construction in progress
    -  
    433  
Total property, plant and equipment, net
  $ 2,340  
  $ 2,262  
 
Depreciation expense was $243 and $180 for the years ended December 31, 2017 and 2016, respectively.
 
NOTE 6 – SHORT-TERM BORROWINGS
 
At December 31, 2017 and 2016, short-term borrowings consisted of the following:
 
 
 
December 31,
2017
 
 
December 31,
2016
 
Borrowings from Bank of China, due on February 10, 2017 with annual interest rate of 4.8%, secured by certain of the Company’s intellectual property and fully repaid on February 13, 2017
  $ -  
  $ 1,222  
Borrowings from Bank of Shanghai Pudong Branch, due on June 24, 2017 with an annual interest rate of 5.66%, guaranteed by the Company’s CEO and fully repaid on June 25, 2017
    -  
    281  
Line of credit up to $3,605 (RMB 25,000) from Bank of Shanghai Pudong Branch, due on July 3, 2017 with floating interest (interest rate of 5.66% at December 31, 2016), guaranteed by the Company’s CEO and fully repaid on May 18, 2017
    -  
    1,455  
Line of credit up to $3,670 from Bank of Shanghai Pudong Branch, due on July 3, 2017 with an annual interest rate of 3.2%, guaranteed by the Company’s CEO and fully repaid on June 7, 2017
    -  
    1,803  
Line of credit up to $4,590 (RMB 30,000) from Bank of China Pudong Branch, due on March 5, 2018 with floating interest rate (annual interest rate of 4.80% at December 31, 2017), secured by certain of the Company’s intellectual property
    2,219  
    -  
Line of credit up to $3,825 (RMB 25,000) from Bank of Shanghai Pudong Branch, various withdraws due in October 2018 with floating interest rate (annual interest rate of 5.66% at December 31, 2017), guaranteed by the Company’s CEO
    2,111  
    -  
Borrowings from Shanghai Rural Commercial Bank, due on November 21, 2018 with annual interest rate of 5.44%, pledged by ACM Shanghai’s accounts receivable (note 3) and guaranteed by the Company’s CEO.
    765  
    -  
Total
  $ 5,095  
  $ 4,761  
 
For the years ended December 31, 2017 and 2016, interest expense related to short-term borrowings amounted to $272 and $179 respectively.
 
 
NOTE 7 – OTHER PAYABLE AND ACCRUED EXPENSES
 
At December 31, 2017 and 2016, other payable and accrued expenses consisted of the following:
 
 
 
December 31,  
 
 
 
2017
 
 
2016
 
Lease expenses and payable for leasehold improvement due to a related party (note 12)
  $ 2,024  
  $ 1,883  
Commissions
    836  
    757  
Accrued warranty
    839  
    290  
Accrued payroll
    745  
    398  
Accrued professional fees
    60  
    46  
Accrued machine testing fees
    684  
    -  
Others
    838  
    589  
Total
  $ 6,026  
  $ 3,963  
 
NOTE 8 – INVESTORS’ DEPOSITS
 
On December 9, 2016, Shengxin (Shanghai) Management Consulting Limited Partnership (“SMC”), a related party (note 12), delivered RMB 20,124 (approximately $2,981 as of the close of business on such date) in cash (the “SMC Investment”) to ACM Shanghai for potential investment pursuant to terms to be subsequently negotiated. On March 14, 2017, ACM, ACM Shanghai and SMC entered into a securities purchase agreement pursuant to which, in exchange for the SMC Investment, ACM issued to SMC a warrant exercisable to purchase 397,502 shares of ACM’s Class A common stock at a price of $7.50 per share (note 9).
 
NOTE 9 – WARRANT LIABILITY
 
On December 9, 2016, SMC delivered the SMC Investment to ACM Shanghai for potential investment pursuant to terms to be subsequently negotiated. As of December 31, 2016, the terms of the SMC Investment had not yet been negotiated and the SMC Investment was recorded as investors’ deposit.
 
On March 14, 2017, ACM, ACM Shanghai and SMC entered into a securities purchase agreement (the “SMC Agreement”) pursuant to which, in exchange for the SMC Investment, ACM issued to SMC a warrant exercisable, for cash or on a cashless basis, to purchase, at any time on or before May 17, 2023, all, but not less than all, of 397,502 shares of ACM’s Class A common stock at a price of $7.50 per share. Under the SMC Agreement, if SMC does not exercise the warrant by May 17, 2023, ACM Shanghai will be obligated, subject to approval of governmental authorities and ACM Shanghai’s equity holders, to deliver an equity interest of 3.6394% (subject to dilution) in satisfaction of the SMC Investment. If SMC exercises the warrant or if SMC does not exercise the warrant and the issuance of the equity interest in ACM Shanghai is not completed by August 17, 2023 due to the inability of the parties to obtain required governmental or equity holder approvals, then ACM Shanghai will be obligated to pay to SMC, in satisfaction of the SMC Investment, an amount equal to $2,981, converted into RMB at the lesser of 6.75 and the then-current RMB-to- US dollar exchange rate.
 
In accordance with FASB ASC 480, Distinguishing Liabilities from Equity , the warrant is classified as a liability as the warrant is conditional puttable. The fair value of the warrant is adjusted for changes in fair value at each reporting period but cannot be lower than the proceeds of the SMC Investment. The corresponding non-cash gain or loss of the changes in fair value is recorded in earnings. The methodology used to value the warrant was the Black-Scholes valuation model with the following assumptions:
 
 
 
 
December 31,
 
 
 
2017
 
Fair value of common share (1)
  $ 5.25  
Expected term in years (2)
    5.38  
Volatility (3)
    28.71 %
Risk-free interest rate (4)
    2.20 %
Expected dividend (5)
    0 %
 
(1) Common stock price was the close price at December 31, 2017.
(2) Expected term of the warrant represents the period from the current balance sheet date to the warrant expiration date.
(3) Volatility is calculated based on the historical volatility of ACM’s comparable companies in the period equal to the expected term of the warrant.
(4) Risk-free interest rate is based on the yields of U.S. treasury securities with maturities similar to the expected term of the warrant.
(5) Expected dividend is assumed to be 0% as ACM has no history or expectation of paying a dividend on its common stock.
 
NOTE 10 – OTHER LONG-TERM LIABILITIES
 
Other long-term liabilities represent government subsidies received from PRC governmental authorities for development and commercialization of certain technology but not yet recognized (note 2). As of December 31, 2017 and 2016, other long-term liabilities consisted of the following unearned government subsidies:
 
 
 
December 31,
 
 
 
2017
 
 
2016
 
Subsidies to Stress Free Polishing project, commenced in 2008  and 2017
  $ 1,952  
  $ 1,958  
Subsidies to Electro Copper Plating project, commenced in 2014
    4,265  
    4,921  
Total
  $ 6,217  
  $ 6,879  
 
NOTE 11 – EQUITY METHOD INVESTMENT
 
 On September 6, 2017, ACM and Ninebell Co., Ltd. (“Ninebell”), a Korean company that is one of the Company’s principal materials suppliers, entered into an ordinary share purchase agreement, effective as of September 11, 2017, pursuant to which Ninebell issued to ACM ordinary shares representing 20% of Ninebell’s post-closing equity for a purchase price of $1,200, and a common stock purchase agreement, effective as of September 11, 2017, pursuant to which ACM issued 133,334 shares of Class A common stock to Ninebell for a purchase price of $1,000 at $7.50 per share. The investment in Ninebell is accounted for under the equity method. Undistributed earnings attributable to ACM’s equity method investment represented $37 of the consolidated retained earnings at December 31, 2017.
 
NOTE 12 – RELATED PARTY BALANCES AND TRANSACTIONS
 
On August 18, 2017, ACM and Ninebell, its equity method investment affiliate (note 11), entered into a loan agreement, pursuant to which ACM made an interest-free loan of $946 to Ninebell, payable in 180 days or automatically extended another 180 days if in default. The loan is secured by a pledge of Ninebell’s accounts receivable due from ACM and all money that Ninebell receives from ACM. As of December 31, 2017 and 2016, accounts payable due to Ninebell was $2,118 and $508, respectively.
 
In 2007, ACM Shanghai entered into an operating lease agreement with Shanghai Zhangjiang Group Co., Ltd. (“Zhangjiang Group”), group company of ZSTVC, which is our current investor and previous holder of non-controlling interests in ACM Shanghai (note 14), to lease manufacturing and office space located in Shanghai, China. Pursuant to the lease agreement, Zhangjiang Group provided $771 to ACM Shanghai for leasehold improvements. In September 2016, the lease agreement was amended to modify payment terms and extend the lease through December 31, 2017. During the year ended December 31, 2017 and 2016, the Company incurred leasing expenses under the lease agreement of $638 and $640, respectively. As of December 31, 2017, and December 31, 2016, payables to Zhangjiang Group for lease expenses and leasehold improvements recorded as other payables and accrued expenses, amounted to $2,024 and $1,883, respectively (note 7).
 
 
On December 9, 2016, ACM Shanghai received the SMC Investment from SMC for potential investment pursuant to terms to be subsequently negotiated (notes 8 and 9). SMC is a limited partnership incorporated in the PRC, whose partners consist of employees of ACM Shanghai. As of December 31, 2017 and 2016, investors’ deposits from SMC amounted to $0, and $2,902, respectively. On March 14, 2017, ACM, ACM Shanghai and SMC entered into a securities purchase agreement (the “SMC Agreement”) pursuant to which, in exchange for the SMC Investment, ACM issued to SMC a warrant exercisable, for cash or on a cashless basis, to purchase, at any time on or before May 17, 2023, all, but not less than all, of 397,502 shares of ACM’s Class A common stock at a price of $7.50 per share, for a total exercise price of $2,981. Under the SMC Agreement, if SMC does not exercise the warrant by May 17, 2023, ACM Shanghai will be obligated, subject to approval of governmental authorities and ACM Shanghai’s equity holders, to deliver an equity interest of 3.6394% (subject to dilution) in satisfaction of the SMC Investment. If SMC exercises the warrant or if SMC does not exercise the warrant and the issuance of the equity interest in ACM Shanghai is not completed by August 17, 2023 due to the inability of the parties to obtain required governmental or equity holder approvals, then ACM Shanghai will be obligated to pay to SMC, in satisfaction of the SMC Investment, an amount equal to $2,981, converted into RMB at the lesser of 6.75 and the then-current RMB-to-US dollar exchange rate.
 
NOTE 13 – LEASES
 
ACM entered into a two-year lease agreement in March 2015 for office and warehouse space of approximately 3,000 square feet for its headquarters in Fremont, California, at a rate of $2 per month. On March 22, 2017, ACM amended the lease agreement to extend the lease term through March 31, 2019 and increase the base rent to $3 per month.
 
ACM Shanghai entered into an operating lease agreement with Zhangjiang Group (a related party, see note 12) in 2007 for manufacturing and office space of approximately 63,510 square feet in Shanghai, China. The lease terms and its payment terms are subject to modification and extension with Zhangjiang Group from time to time. The lease with Zhangjiang Group expired on December 31, 2017 and we are now leasing the property on a month-to-month basis as we negotiate the terms of the lease.
 
ACM Wuxi leases office space in Wuxi, China, at a rate of less than $1 per month.
 
Future minimum lease payments under non-cancelable lease agreements as of December 31, 2017 were as follows:
 
 
 
December 31,
2017
 
2018
  $ 50
2019
  22
Total
  $ 72
 
Rent expense was $670 an $675 for the years ended December 31, 2017 and 2016, respectively.
 
NOTE 14 – COMMON STOCK
 
ACM is authorized to issue 100,000,000 shares of Class A common stock and 7,303,533 shares of Class B common stock, each with a par value of $0.0001. Each share of Class A common stock is entitled to one vote, and each share of Class B common stock is entitled to twenty votes and is convertible at any time into one share of Class A common stock. Shares of Class A common stock and Class B common stock are treated equally, identically and ratably with respect to any dividends if declared by the Board of Directors unless the Board of Directors declares different dividends to the Class A common stock and Class B common stock by getting approval from a majority of common stock holders.
 
 
In August 2017 ACM entered into a securities purchase agreement with PDHTI and its subsidiary Pudong Science and Technology (Cayman) Co., Ltd. (“PST”), in which ACM agreed to bid, in an auction process mandated by PRC regulations, to purchase PDHTI’s 10.78% equity interests in ACM Shanghai and to sell shares of Class A common stock to PST. On September 8, 2017, ACM issued 1,119,576 shares of Class A common stock to PST for a purchase price of $7.50 per share, representing an aggregate purchase price of $8,397.
 
In August 2017 ACM entered into a securities purchase agreement with  ZSTVC and its subsidiary Zhangjiang AJ Company Limited (“ZJAJ”), in which ACM agreed to bid, in an auction process mandated by PRC regulations, to purchase ZSTVC’s 7.58% equity interests in ACM Shanghai and to sell shares of Class A common stock to ZJAJ. On September 8, 2017, ACM issued 787,098 shares of Class A common stock to ZJAJ for a purchase price of $7.50 per share, or an aggregate purchase price of $5,903.
 
In September 2017 ACM issued 133,334 shares of Class A common stock to Ninebell for a purchase price of $7.50 per share, or an aggregate purchase price of $1,000 (note 11).
 
In November 2017 ACM issued 2,233,000 shares of Class A common stock and received net proceeds of $11,664 from IPO and concurrently ACM issued additional 1,333,334 shares of Class A common stock through a private placement for net proceeds of $7,053.
 
In connection with the completion of IPO on November 2, 2017, the Company issued a five-year warrant to Roth Capital Partners, LLC, the Company's IPO underwriter, up to 80,000 shares ("Underwriter's Warrant") of the Company's Class A common stock at the exercise price of $6.16. The Underwriter's Warrant is immediately exercisable and expires on November 1, 2022. The Underwriter's Warrant is equity classified and the fair value was $137 at the IPO offering date, using the Black Scholes model with the following assumptions: volatility - 28.26%, dividend rate - 0%, and risk free discount rate- 2%.
 
At various dates during 2017, ACM issued 472,889 shares of Class A common stock for options exercised by certain employee and non-employees.
 
At December 31, 2017 and 2016, the number of shares of Class A common stock issued and outstanding was 12,935,546 and 2,228,740, respectively. At December 31, 2017 and 2016, the number of shares of Class B common stock issued and outstanding was 2,409,738.
 
NOTE 15 – REDEEMABLE CONVERTIBLE PREFERRED STOCK
 
Upon ACM’s redomestication in Delaware in November 2016, ACM had 22,696,467 authorized shares of preferred stock, of which 385,000, 1,572,000, 1,360,962, 2,659,975, 10,718,530, and 6,000,000 shares were designated as Series A, Series B, Series C, Series D, Series E and Series F preferred stock, respectively.
 
In March 2017 ACM entered into a securities purchase agreement, amended in July 2017, with SSTVC pursuant to which, effective as of August 31, 2017, ACM acquired SSTVC’s equity interests in ACM Shanghai for a purchase price of $6,154 (RMB 40,000) and issued to SSTVC 4,998,508 shares of Series E convertible preferred stock for a purchase price of $5,800.
 
The number of issued and outstanding shares of redeemable convertible preferred stock as of December 31, 2017 and 2016 were as follows:
 
 
 
2017
 
 
2016
 
Series A convertible preferred stock
    -  
    385,000  
Series B convertible preferred stock
    -  
    1,572,000  
Series C convertible preferred stock
    -  
    1,360,962  
Series D convertible preferred stock
    -  
    2,659,975  
Series E convertible preferred stock
    -  
    -  
Series F convertible preferred stock
    -  
    6,000,000  
 
    -  
    11,977,937  
 
 
Shares of ACM’s convertible preferred stock have rights, preferences and privileges as follows:
 
Voting Rights
 
Each share of Series A through Series F convertible preferred stock is entitled to a number of votes equal to the number of whole shares of common stock into which such share can be converted.
 
Dividends
 
Holders of Series A through Series F convertible preferred stock have a non-cumulative right to participate in and receive the same dividends as may be declared for common stockholders, as and if declared by the Board of Directors, payable out of funds legally available.
 
Conversion
 
Each share of Series A through Series F convertible preferred stock is convertible at any time, at the option of the holder. At November 3, 2017, the IPO date, each share of Series A, B, E and F convertible preferred stock was convertible into one-third share of Class A common stock, each share of Series C convertible preferred stock was convertible into 0.3544 shares of Class A common stock, and each share of Series D convertible preferred stock was convertible into 0.4562 shares of Class A common stock. All Series A through Series F convertible preferred stock converted automatically into a total of 4,625,577 shares of Class A common stock upon the closing of the IPO. At December 31, 2016, 2,960,968 shares of Class A common stock were reserved for issuance upon conversion of outstanding Series A through Series F convertible preferred stock.
 
Liquidation Preferences
 
Holders of Series A through Series F convertible preferred stock are entitled to receive specified liquidation amounts in the event of a liquidation, dissolution or winding-up of ACM or of certain deemed liquidation events. The deemed liquidation events generally include (a) a merger or stock sale after which new stockholders would own a majority of the voting stock of ACM and (b) a sale of all or substantially all of the assets of the Company.
 
In the event of a liquidation, dissolution or winding-up of ACM or a deemed liquidation, the holders of Series A through Series F convertible preferred stock shall be entitled to be paid, prior to and in preference to the holders of common stock, an amount equal to $0.80, $1.00, $1.50, $3.75, $1.00 and $2.50 per share of Series A through Series F convertible preferred stock, respectively, plus any accumulated and unpaid dividends as of the redemption date.
 
NOTE 16 – STOCK-BASED COMPENSATION
 
On April 29, 1998, ACM adopted the 1998 Stock Option Plan (the “1998 Plan”). The options issued under the Plan consisted of incentive stock options (“ISOs”) and nonstatutory stock options (“NSOs”) that should be determined at the time of grant. ISOs could be granted only to employees. NSOs could be granted to employees, directors and consultants. The option price of each ISO and each NSO could not be less than 100% or less than 85% of the fair market value of stock price at the time of grant, respectively. The vesting period was to be determined by the Board of Directors for each grant. The total number of shares of common stock reserved under the 1998 Plan, as amended, was 766,667. If any option granted under the 1998 Plan expires or otherwise terminates without having been exercised in full, the shares of common stock subject to that option would become available for re-grant. At March 3, 2014, the 1998 Plan terminated and no further grants under the 1998 Plan could be made thereunder, although certain previously granted options remained outstanding in accordance with their terms.
 
 
On December 28, 2016, ACM adopted the 2016 Omnibus Incentive Plan (the “2016 Plan”). Under the 2016 Plan, the aggregate number of shares of Class A common stock that may be issued shall equal the sum of (a) 2,333,334 and (b) an annual increase on the first day of each year beginning in 2018 and ending in 2026 equal to the lesser of (i) 4% of the shares of Class A and Class B common stock outstanding (on an as-converted basis) on the last day of the immediately preceding year and (ii) such smaller number of shares as may be determined by the Board. A maximum of 2,333,334 shares is available for issuance as ISOs under the 2016 Plan. Besides the stock options, the 2016 Plan also authorizes issuance of stock appreciation rights, restricted stock, restricted stock units, and other share-based and cash awards. The 2016 Plan will terminate on December 27, 2026.
 
Employee Awards
 
The following table summarizes ACM’s employee share option activities:
 
 
 
  Number of Option Shares
 
 
  Weighted Average Grant Date Fair Value
 
 
  Weighted Average Exercise Price
 
 
  Weighted Average Remaining Contractual Term
 
Outstanding at December 31, 2015
    1,500,010  
  $ 0.48  
  $ 1.02  
    5.60  
Granted
    1,009,371  
    0.54  
    3.00  
       
Exercised
    (409,004 )
    0.42  
    0.75  
       
Expired
    -  
    -  
    -  
       
Forfeited
    -  
    -  
    -  
       
Outstanding at December 31, 2016
    2,100,377  
    0.54  
    2.03  
    7.83  
Granted
    140,002  
    2.28  
    6.75  
       
Exercised
    (174,334 )
    0.45  
    0.75  
       
Expired
    (3,752 )
    0.54  
    3.00  
       
Forfeited
    (16,677 )
    0.54  
    3.00  
       
Outstanding at December 31, 2017
    2,045,616  
  $ 0.66  
  $ 2.46  
    7.57  
Vested and exercisable at December 31, 2017
    1,010,313  
       
       
       
 
During the years ended December 31, 2017 and 2016, ACM recognized employee stock-based compensation expense of $271 and $92, respectively. As of December 31, 2017 and 2016, $729 and $726, respectively, of total unrecognized employee stock-based compensation expense, net of estimated forfeitures, related to stock-based awards were expected to be recognized over a weighted-average period of 1.77 years and 2.25 years, respectively. Total unrecognized compensation cost may be adjusted for future changes in estimated forfeitures.
 
The fair value of each option granted to employee is estimated on the grant date using the Black-Scholes valuation model with the following assumptions.
 

December 31,  
 
2017
 
2016
Fair value of common share(1)
$5.60-7.59
 
$2.28
Expected term in years(2)
6.25
 
5.75-6.25
Volatility(3)
28.62% -29.18%
 
29.93%
Risk-free interest rate(4)
2.21%-2.22%
 
2.02%-2.32%
Expected dividend(5)
0%
 
0%
 
 
(1)
Common stock value was the close market value on December 31, 2017.
(2)
Expected term of share options is based on the average of the vesting period and the contractual term for each grant according to Staff Accounting Bulletin 110.
(3)
Volatility is calculated based on the historical volatility of ACM’s comparable companies in the period equal to the expected term of each grant.
(4)
Risk-free interest rate is based on the yields of U.S. Treasury securities with maturities similar to the expected term of the share options in effect at the time of grant.
(5)
Expected dividend is assumed to be 0% as ACM has no history or expectation of paying a dividend on its common stock.
 
Non-employee Awards
 
The following table summarizes ACM’s non-employee share option activities:
 

 
 
 
 
Weighted
 
 
Weighted
 
 
Weighted Average
 

 
Number of
 
 
Average Grant
 
 
Average Exercise
 
 
Remaining
 

 
Option Shares  
 
 
Date Fair Value  
 
 
Price  
 
 
Contractual Term
 
Outstanding at December 31, 2015
    1,533,343  
  $ 0.48  
  $ 0.99  
    5.53  
Granted
    415,225  
    0.54  
    3.00  
       
Exercised
    (370,003 )
    0.45  
    0.75  
       
Expired
    -  
    -  
    -  
       
Forfeited
    -  
    -  
    -  
       
Outstanding at December 31, 2016
    1,578,565  
    0.51  
    1.58  
    6.81  
Granted
    196,669  
    2.25
  6.90
       
Exercised
    (298,555 )
    0.39  
    0.93  
       
Expired
    (133,336 )
    0.45  
    0.75  
       
Forfeited
    (16,667 )
    2.58  
    7.50  
       
Outstanding at December 31, 2017
    1,326,676  
    0.78
    2.52
    7.54
Vested and exercisable at December 31, 2017
    754,799  
       
       
       
 
During the years ended December 31, 2017 and 2016, the Company recognized non-employee stock-based compensation expense of $1,351 and $291, respectively.
 
The fair value of each option granted to non-employees is re-measured at each period end until the vesting date using the Black-Scholes valuation model with the following assumptions:
 

December 31,
 
2017
 
2016
Fair value of common share(1)
$5.25-7.59
 
$2.28
Expected term in years(2)
3.58-6.25
 
2.11-6.24
Volatility(3)
28.71%-29.41%
 
29.93%
Risk-free interest rate(4)
1.62%-2.43%
 
1.00%-2.25%
Expected dividend(5)
0%
 
0%
 
 
 
1.
Common stock value was the close market value on December 31, 2017.
2.
Expected term of share options is based on the average of the vesting period and the contractual term for each grant according to Staff Accounting Bulletin 110.
3.
Volatility is calculated based on the historical volatility of ACM’s comparable companies in the period equal to the expected term of each grant.
4.
Risk-free interest rate is based on the yields of U.S. Treasury securities with maturities similar to the expected term of the share options in effect at the time of grant.
5.
Expected dividend is assumed to be 0% as ACM has no history or expectation of paying a dividend on its common stock.
 
NOTE 17 – INCOME TAXES
 
The following represent components of the income tax benefit (expense) for the years ended December 31, 2017 and 2016:
 
 
 
Year Ended 
December 31,  
 
 
 
 2017   
 
 
2016
 
Current:
 
 
 
U.S. federal
  $ -  
  $ -  
U.S. state
    -  
    (1 )
Foreign
    -  
    -  
Total current tax expense
    -  
    (1 )
Deferred:
       
       
U.S. federal
    -  
    -  
U.S. state
    -  
    -  
Foreign
    (547 )
    (594 )
Total deferred tax expense
    (547 )
    (594 )
Total income tax expense
  $ (547 )
  $ (595 )
 
Tax effects of temporary differences that give rise to significant portions of the Company’s deferred tax assets at December 31, 2017 and 2016 are presented below:
 
 
 
December 31,  
 
 
 
2017
 
 
2016
 
Deferred tax assets:
 
 
 
 
 
 
Net operating loss carry forwards (offshore)
  $ 4,418  
  $ 1,029  
Net operating loss carry forwards (U.S.) and credit
    683  
    5,815  
Deferred revenue (offshore)
    656  
    840  
Accruals (U.S.)
    18  
    18  
Reserves and other (offshore)
    495  
    43  
Stock-based compensation (U.S.)
    453  
    342  
Property and equipment (U.S.)
    2  
    3  
Total gross deferred tax assets
    6,725  
    8,090  
Less: valuation allowance
    (5,431 )
    (6,249 )
Total deferred tax assets
    1,294  
    1,841  
Total deferred tax liabilities
    -  
    -  
Translation difference
    -  
    -  
Deferred tax assets, net
  $ 1,294  
  $ 1,841  
 
 
The Company considers all available evidence to determine whether it is more likely than not that some portion or all of the deferred tax assets will be realized. The ultimate realization of deferred tax assets is dependent upon the generation of future taxable income during the periods in which those temporary differences become realizable. Management considers the scheduled reversal of deferred tax liabilities (including the impact of available carryback and carry-forward periods), and projected taxable income in assessing the realizability of deferred tax assets. In making such judgments, significant weight is given to evidence that can be objectively verified. Based on all available evidence, a partial valuation allowance has been established against some net deferred tax assets as of December 31, 2017 and 2016, based on estimates of recoverability. While the Company has optimistic plans for its business strategy, it determined that such a valuation allowance was necessary given its historical losses and the uncertainty with respect to its ability to generate sufficient profits from its business model from all tax jurisdictions. In order to fully realize the U.S. deferred tax assets, the Company must generate sufficient taxable income in future periods before the expiration of the deferred tax assets governed by the tax code. The valuation allowance in the U.S. decreased by $760 for the year ended December 31, 2017 and increased $264 for the year ended December 31, 2016. The valuation allowance in China decreased by $58 and $163 during the years ended December 31, 2017 and 2016, respectively.
 
The Company did not have any significant temporary differences relating to deferred tax liabilities as of December 31, 2017 or 2016.
 
As of December 31, 2017 and 2016, the Company had net operating loss carry-forwards of respectively, $20,116 and $15,037 for U.S federal purposes, $536 and $204 for U.S. state purposes and $6,411 and $6,822 for Chinese income tax purposes. Such losses are set to expire in 2019, 2032, and 2017 for U.S. federal, U.S. state and Chinese income tax purposes, respectively.
 
As of December 31, 2017 and 2016, the Company had research credit carry-forwards of $606 for U.S. federal purposes, and $377 for U.S. state purposes. Such credits are set to expire in 2025 for U.S. federal carry-forwards. There is no expiration date for U.S. state carry-forwards.
 
A limitation may apply to the use of the U.S. net operating loss and credit carry-forwards, under provisions of the U.S. Internal Revenue Code that would be applicable if ACM experiences an “ownership change.” Should these limitations apply, the carry-forwards would be subject to an annual limitation, resulting in a substantial reduction in the gross deferred tax assets before considering the valuation allowance. As of December 31, 2017 and 2016, the Company had not performed an analysis to determine if its net operating loss and credit carry-forwards would be subject to such limitations.
 
The Company’s effective tax rate differs from statutory rates of 34% for U.S. federal income tax purposes and 15%-25% for Chinese income tax purpose due to the effects of the valuation allowance and certain permanent differences as it pertains to book-tax differences in the value of client shares received for services. Pursuant to the Corporate Income Tax Law of the PRC, all of the Company’s PRC subsidiaries are liable to PRC Corporate Income Taxes at a rate of 25% except for ACM Shanghai. According to Guoshuihan 2009 No. 203, if an entity is certified as an “advanced and new technology enterprise,” it is entitled to a preferential income tax rate of 15%. ACM Shanghai obtained the certificate of “advanced and new technology enterprise” in 2012 and again in 2016 with an effective period of three years, and the provision for PRC corporate income tax for ACM Shanghai is calculated by applying the income tax rate of 15% for the years ended December 31, 2017 and 2016.
 
 
Income tax (expense) benefit for the years ended December 31, 2017 and 2016 differed from the amounts computed by applying the statutory federal income tax rate of 34% to pretax income (loss) as a result of the following:
 
 
 
 Year ended 
December 31,   
 
 
 
2017
 
 
2016
 
Effective tax rate reconciliation:
 
 
 
 
 
 
Income tax provision at statutory rate
    34.00 %
    (34.00 %)
State taxes, net of Federal benefit
    -  
    -  
Foreign rate differential
    6.8  
    38.7  
Other permanent difference
    197.7  
    (20.9 )
Effect of tax reform
    (757 )
    -  
Change in valuation allowance
    349.9  
    (3.8 )
Total income tax (expense) benefit
    (168.60 %)
    (20.00 %)
 
Tax positions are evaluated in a two-step process. The Company first determines whether it is more likely than not that a tax position will be sustained upon examination. If a tax position meets the more-likely-than-not recognition threshold it is then measured to determine the amount of benefit to recognize in the financial statements. The tax position is measured as the largest amount of benefit that is greater than 50% likely of being realized upon ultimate settlement. The aggregate changes in the balance of gross unrecognized tax benefits, which excludes interest and penalties, for the years ended December 31, 2017 and 2016, are as follows:
 
 
 
December 31,
 
 
 
2017
 
 
2016
 
Beginning balance
  $ 44  
  $ 44  
Increase/(Decrease) of unrecognized tax benefits taken in prior years
    -  
    -  
Increase/(Decrease) of unrecognized tax benefits related to current year
    -  
    -  
Increase/(Decreases) of unrecognized tax benefits related to settlements
    -  
    -  
Reductions to unrecognized tax benefits related to lapsing statute of limitations
    -  
    -  
Ending balance
  $ 44  
  $ 44  
 
The Company files income tax returns in the United States, and state and foreign jurisdictions. The federal, state and foreign income tax returns are under the statute of limitations subject to tax examinations for the tax years ended December 31, 2009 through December 31, 2017. To the extent the Company has tax attribute carry-forwards, the tax years in which the attribute was generated may still be adjusted upon examination by the U.S. Internal Revenue Service, state or foreign tax authorities to the extent utilized in a future period.
 
The Company had $44 of unrecognized tax benefits as of December 31, 2017 and 2016.
 
The Company recognizes interest and penalties related to uncertain tax positions in income tax expense. As of December 31, 2017 and 2016, the Company had $44 of accrued penalties and $0 of accrued penalties related to uncertain tax positions, none of which has been recognized in the Company’s consolidated statements of operations and comprehensive income for the years ended December 31, 2017 and 2016. There were no ongoing examinations by taxing authorities as of December 31, 2017 and 2016.
 
 
The Company intends to indefinitely reinvest the PRC earnings outside of the U.S. as of December 31, 2017 and December 31, 2016. Thus, deferred taxes are not provided in the U.S. for unremitted earnings in the PRC.
 
On December 22, 2017, the 2017 Tax Cuts and Jobs Act (the Tax Act) was enacted into law and the new legislation contains several key tax provisions that affected us, including a one-time mandatory transition tax on accumulated foreign earnings and a reduction of the corporate income tax rate to 21% effective January 1, 2018, among others. We are required to recognize the effect of the tax law changes in the period of enactment, such as determining the transition tax, remeasuring our U.S. deferred tax assets and liabilities as well as reassessing the net realizability of our deferred tax assets and liabilities.
 
NOTE 18 – COMMITMENTS AND CONTINGENCIES
 
The Company leases offices under non-cancelable operating lease agreements. The rental expenses were $670 and $675 for the years ended December 31, 2017 and 2016, respectively. See note 13 for future minimum lease payments under non-cancelable operating lease agreements with initial terms of one year or more.
 
The Company did not have any capital commitments during the reported periods.
 
From time to time the Company is subject to legal proceedings, including claims in the ordinary course of business and claims with respect to patent infringements.
 
NOTE 19 – RESTRICTED NET ASSETS
 
In accordance with the PRC’s Foreign Enterprise Law, ACM Shanghai and ACM Wuxi are required to make contributions to a statutory surplus reserve (note 2).
 
As a result of PRC laws and regulations that require annual appropriations of 10% of net after-tax profits to be set aside prior to payment of dividends as general reserve fund or statutory surplus fund, ACM Shanghai is restricted in its ability to transfer a portion of its net assets to ACM (including any assets received as distributions from ACM Wuxi). Amounts restricted included paid-in capital and statutory reserve funds, as determined pursuant to PRC accounting standards and regulations, were $29,927 as of December 31, 2017 and 2016.
 
NOTE 20– SUBSEQUENT EVENTS
 
On January 12, 2018, ACM Shanghai entered into an operating lease for manufacturing space of approximately 103,318 square feet in Shanghai, China effective as of January 16, 2018.  The lease term is five years and expires on January 15, 2022. During the first year, the lease space is 51,659 square feet with monthly payments of RMB 270 starting from the second month of the lease. From January 16, 2019, the lease space will be increased to 103,318 square feet with monthly payments of RMB 390. The monthly payments for the third and four year is RMB 409 and RMB 430 for the fifth year.
 
On January 25, 2018, the Company’s board approved a total of 500,000 shares of stock options to its employees and consultants at the exercise price of $5.31 per share
 
NOTE 21 – PARENT COMPANY ONLY CONDENSED FINANCIAL INFORMATION
 
The Company performed a test on the restricted net assets of consolidated subsidiaries in accordance with Rule 4-08(e)(3) of Regulation S-X of the SEC and concluded that it was applicable for the Company to disclose the financial information for ACM only. Certain information and footnote disclosures generally included in financial statements prepared in accordance with GAAP have been condensed or omitted. The footnote disclosure contains supplemental information relating to the operations of ACM separately.
 
ACM’s subsidiaries did not pay any dividends to ACM during the periods presented.
 
ACM did not have significant capital or other commitments, long-term obligations, or guarantees as of December 31, 2017 and 2016.
 
 
The following represents condensed unconsolidated financial information of ACM only as of and for the years ended December 31, 2017 and 2016:
 
CONDENSED BALANCE SHEET
 
 
December 31,  
 
 
 
2017
 
 
2016
 
Assets
 
 
 
 
 
 
Current assets:
 
 
 
 
 
 
Cash and cash equivalents
  $ 10,874  
  $ 7,264  
Accounts Receivable
    118  
    -  
Inventory
    565  
    1,042  
Due from intercompany
    12,669  
    1,986  
Other receivable
    50  
    3  
Total current assets
    24,276  
    10,295  
Investment in unconsolidated subsidiaries
    15,476  
    6,583  
Due from related party
    946  
    -  
Total assets
    40,698  
    16,878  
Liabilities, Redeemable Convertible Preferred Stock and Stockholders’ Equity
       
       
Notes payable
    11  
    11  
Accounts payable
    739  
    1,176  
Other payable
    47  
    47  
Income taxes payable
    44  
    44  
Total liabilities
    841  
    1,278  
Total redeemable convertible preferred stocks
    -  
    18,034  
Total stockholders’ equity (deficit)
    39,857  
    (2,434 )
Total liabilities, redeemable convertible preferred stock and stockholders’ equity
  $ 40,698  
  $ 16,878  
 
CONDENSED STATEMENT OF OPERATIONS
 
 
Year Ended
December 31,
 
 
 
2017
 
 
2016
 
Revenue
  $ 6,985  
  $ 5,803  
Cost of revenue
    (6,394 )
    (5,346 )
Gross profit
    591  
    457  
Operating expenses:
       
       
Sales and marketing expenses
    (368 )
    (64 )
General and administrative expenses
    (3,961 )
    (1,202 )
Research and development expenses
    (50 )
    (6 )
Loss from operations
    (3,788 )
    (815 )
Equity in earnings of unconsolidated subsidiaries
    3,475  
    3,561  
Other income (expense), net
    -  
    (1,608 )
Interest expense, net
    (5 )
    (106 )
Income (loss) before income taxes
    (318 )
    1,032  
Income tax expense (benefit)
    -  
    (1 )
Net income (loss)
  $ (318 )
  $ 1,031  
 
 
Condensed Statement of Cash Flows
 
 
 
Year Ended
December 31,
 
 
 
2017
 
 
2016
 
Net cash used in operating activities
  $ (13,848 )
  $ (2,220 )
Net cash used in investing activities
    (21,754 )
    -
 
Net cash provided by financing activities
  38,676
    9,309  
Net increase in cash and cash equivalents
    3,074  
    7,089  
Cash and cash equivalents, beginning of year
    7,264  
    504  
Effect of exchange rate changes on cash and cash equivalents
    536  
    (329 )
Cash and cash equivalents, end of year
  $ 10,874  
  $ 7,264  
 
I TEM 9. Changes in and Disagreements with Accountants on Accounting and Financial Disclosure
 
None.
 
I TEM 9A. Controls and Procedures
 
Evaluation of Disclosure Controls and Procedures
 
Our management, with the participation of our Chief Executive Officer and Chief Accounting Officer, evaluated the effectiveness of our disclosure controls and procedures pursuant to Rule 13a-15 (e) and 15d-14 (e) under the Securities Exchange Act of 1934 as of December 31, 2017. The evaluation included certain internal control areas in which we have made and are continuing to make changes to improve and enhance controls. In designing and evaluating the disclosure controls and procedures, management recognized that any controls and procedures, no matter how well designed and operated, can provide only reasonable assurance of achieving the desired control objectives. In addition, the design of disclosure controls and procedures must reflect the fact that there are resource constraints and that management is required to apply its judgment in evaluating the benefits of possible controls and procedures relative to their costs.
 
Based on that evaluation, our Chief Executive Officer and Chief Accounting Officer concluded that our disclosure controls and procedures are effective to provide reasonable assurance that information we are required to disclose in reports that we file or submit under the Securities Exchange Act of 1934 is recorded, processed, summarized and reported within the time periods specified in SEC rules and forms, and that such information is accumulated and communicated to our management, including our Chief Executive Officer and Chief Accounting Officer, as appropriate, to allow timely decisions regarding required disclosure.
 
Management’s Report on Internal Control Over Financial Reporting
 
This report does not include a report of management’s assessment regarding internal control over financial reporting or an attestation report of our registered public accounting firm due to a transition period established by rules of the SEC for newly public companies.
 
Previously Identified Material Weaknesses in Internal Control Over Financial Reporting
 
Our management is responsible for establishing and maintaining adequate internal control over financial reporting for our company. Internal control over financial reporting is defined in Rule 13a-15(f) and 15d-15(f) promulgated under the Securities Exchange Act of 1934 as a process designed by, or under the supervision of, a company's principal executive and principal financial officers and effected by the company's board of directors, management and other personnel, to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with GAAP, and includes those policies and procedures that:
 
 
pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company;
 
 
provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made in accordance with authorizations of management and directors of the company; and
 
 
provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use or disposition of the company's assets that could have a material effect on the financial statements.
 
 
Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate.
 
In connection with its audits of our consolidated financial statements as of, and for the year ended, December 31, 2016, BDO China Shu Lun Pan Certified Public Accountants LLP, or BDO China, informed us that it had identified a material weakness in our internal control over financial reporting relating to our lack of sufficient qualified financial reporting and accounting personnel with an appropriate level of expertise to properly address complex accounting issues under GAAP and to prepare and review our consolidated financial statements and related disclosures to fulfill GAAP and SEC financial reporting requirements. A material weakness is a deficiency, or a combination of deficiencies, in internal control over financial reporting such that there is a reasonable possibility that a material misstatement of the annual or interim financial statements will not be prevented or detected on a timely basis.
 
Our management, including our Chief Executive Officer, who is our principal executive officer, and our Chief Accounting Officer and interim Chief Financial Officer, who is our principal financial officer, assessed the effectiveness of our internal control over financial reporting as of December 31, 2017. In making this assessment, our management used the criteria set forth by the Committee of Sponsoring Organizations of the Treadway Commission in Internal Control—An Integrated Framework (2013). As of, and during the year ended, December 31, 2017, we considered we were still in a transitional period to improve and enhance the quality of our accounting and financial reporting function, we determined that the above mentioned material weakness had not been fully remediated. Management concluded that, as of December 31, 2017, our internal control over financial reporting was not effective.
 
Remediation Efforts
 
We have taken, and are continuing to take, remedial measures to improve the effectiveness of our controls, including by hiring additional accounting and finance personnel and by engaging outside consulting firms. In particular, in January 2018 we hired a new Chief Accounting Officer. Because the employment of our former Chief Financial Officer terminated in January 2018, our new Chief Accounting Officer is also serving as interim Chief Financial Officer while we conduct a search for a permanent Chief Financial Officer. We are continuing to add personnel and take other remedial steps, and management expects to remedy the identified material weakness by no later than the second quarter of 2018.
 
I tem 9B. Other Information
 
None.
 
 
P ART III
 
I TEM 10. Directors, Executive Officers and Corporate Governance
 
Information responsive to this item is incorporated herein by reference to ACM’s definitive proxy statement with respect to our 2018 Annual Meeting of Stockholders to be filed with the SEC within 120 days after the end of the fiscal year covered by this report.
 
I TEM 11. Executive Compensation
 
Information responsive to this item is incorporated herein by reference to our definitive proxy statement with respect to our 2018 Annual Meeting of Stockholders to be filed with the SEC within 120 days after the end of the fiscal year covered by this report.
 
I TEM 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters
 
Information responsive to this item is incorporated herein by reference to our definitive proxy statement with respect to our 2018 Annual Meeting of Stockholders to be filed with the SEC within 120 days after the end of the fiscal year covered by this report.
 
I TEM 13. Certain Relationships and Related Transactions, and Director Independence
 
Information responsive to this item is incorporated herein by reference to our definitive proxy statement with respect to our 2018 Annual Meeting of Stockholders to be filed with the SEC within 120 days after the end of the fiscal year covered by this report.
 
I TEM 14. Principal Accounting Fees and Services
 
Information responsive to this item is incorporated herein by reference to our definitive proxy statement with respect to our 2018 Annual Meeting of Stockholders to be filed with the SEC within 120 days after the end of the fiscal year covered by this report.
 
P ART IV
 
I TEM 15. Exhibits and Financial Statement Schedules
 
(a)            
See “Item 8. Financial Statements and Supplementary Data─Index to Consolidated Financial Statements” above and “Exhibit Index” below.
 
(b)            
See “Exhibit Index” below.
 
(c)            
None.
 
ITEM 16. Form 10-K Summary
 
None.
 
 
EXHIBIT INDEX
 
Exhibit No.
 
Description
 
Restated Certificate of Incorporation of ACM Research, Inc.
 
 
 
 
Restated Bylaws of ACM Research, Inc.
 
 
 
4.01#
 
Warrant dated March 14, 2017 issued by ACM Research, Inc. to Shengxin (Shanghai) Management Consulting Limited Partnership
 
 
 
4.02#
 
Form of Warrant dated November 2, 2017 issued to the underwriters of ACM Research, Inc.'s initial public offering exercisable for an aggregate of 80,000 shares of Class A common stock
 
 
 
 
Lease dated March 22, 2017 between ACM Research, Inc. and D&J Construction, Inc.
 
 
 
 
Lease dated September 6, 2016 between ACM Research (Shanghai), Inc. and Shanghai Zhangjiang Group Co., Ltd.
 
 
 
10.03#
 
Underwriting Agreement dated November 2, 2017 between ACM Research, Inc. and Roth Capital Partners, LLC, as representative of the several underwriters named on Schedule I thereto
 
 
 
 
Securities Purchase Agreement dated March 14, 2017 by and among ACM Research, Inc., Shengxin (Shanghai) Management Consulting Limited Partnership and ACM Research (Shanghai), Inc.
 
 
 
 
Securities Purchase Agreement dated March 23, 2017 between ACM Research, Inc. and Shanghai Science and Technology Venture Capital Co., Ltd., as amended
 
 
 
 
Securities Purchase Agreement dated August 31, 2017 by and among ACM Research, Inc., Shanghai Pudong High-Tech Investment Co., Ltd. and Pudong Science and Technology (Cayman) Co., Ltd.
 
 
 
 
Securities Purchase Agreement dated August 31, 2017 by and among ACM Research, Inc., Shanghai Zhangjiang Science & Technology Venture Capital Co., Ltd. and Zhangjiang AJ Company Limited
 
 
 
 
Ordinary Share Purchase Agreement dated September 6, 2017 by and among ACM Research, Inc., Ninebell Co., Ltd. and Moon-Soo Choi
 
 
 
 
Class A Common Stock Purchase Agreement dated September 6, 2017 by and among ACM Research, Inc., Ninebell Co., Ltd. and Moon-Soo Choi
 
 
 
 
Form of Second Amended and Restated Registration Rights Agreement to be entered into between ACM Research, Inc. and certain of its stockholders
 
 
 
Stock Purchase Agreement, dated October 11, 2017, by and among ACM Research, Inc., Xunxin (Shanghai) Capital Co., Limited, Xinxin (Hongkong) Capital Co., Limited and David H. Wang
 
 
 
 
Stock Purchase Agreement, dated October 16, 2017, by and between ACM Research, Inc. and Victorious Way Limited
 
 
 
 
Nomination and Voting Agreement, dated October 11, 2017, by and among Xinxin (Hongkong) Capital Co., Limited, ACM Research, Inc., David H. Wang, and the individuals named therein
 
 
 
 
Voting Agreement, dated March 23, 2017, by and among Shanghai Technology Venture Capital Co., Ltd. (also known as Shanghai Science and Technology Venture Capital Co., Ltd.) and ACM Research, Inc.
 
 
 
 
2016 Omnibus Incentive Plan of ACM Research, Inc.
 
 
 
 
Form of Incentive Stock Option Grant Notice and Agreement under 2016 Omnibus Incentive Plan
 
 
 
 
Form of Non-qualified Stock Option Grant Notice and Agreement under 2016 Omnibus Incentive Plan
 
 
 
 
Form of Restricted Stock Unit Grant Notice and Agreement under 2016 Omnibus Incentive Plan
 
 
 
 
Form of Nonstatutory Stock Option Agreement of ACM Research, Inc.
 
 
 
 
1998 Stock Option Plan of ACM Research, Inc.
 
 
 
 
Form of Incentive Stock Option Agreement under 1998 Stock Option Plan
 
 
 
 
Form of Non-statutory Stock Option Agreement under 1998 Stock Option Plan
 
 
 
 
Form of Indemnification Agreement entered into between ACM Research, Inc. and certain of its directors and officers
 
 
 
 
Executive Retention Agreement dated November 14, 2016 between ACM Research, Inc. and Min Xu
 
 
 
 
Line of Credit Agreement dated August 21, 2017 between ACM Research (Shanghai), Inc. and Shanghai Pudong Development Zone Branch of Bank of China Limited
 
 
 
 
Line of Credit Agreement dated August 21, 2017 between ACM Research (Shanghai), Inc. and Bank of Shanghai Co., Ltd. Pudong Branch
 
 
 
 
List of Subsidiaries of ACM Research, Inc.
 
 
 
 
Consent of BDO China Shu Lan Pan Certified Public Accountants LLP
 
 
 
31.01
 
Certification of Principal Executive Officer Pursuant to Rules 13a-14(a) and 15d-14(a) under the Securities Exchange Act of 1934, as Adopted Pursuant to Section 302 of the Sarbanes-Oxley Act of 2002
 
 
 
31.02
 
Certification of Principal Financial Officer Pursuant to Rules 13a-14(a) and 15d-14(a) under the Securities Exchange Act of 1934, as Adopted Pursuant to Section 302 of the Sarbanes-Oxley Act of 2002
 
 
 
32.01
 
Certification of Principal Executive Officer and Principal Financial Officer Pursuant to 18 U.S.C. Section 1350, as Adopted Pursuant to Section 906 of the Sarbanes-Oxley Act of 2002
 
 
 
101.INS
 
XBRL Instance Document
 
 
 
101.SCH
 
XBRL Taxonomy Extension Schema Document
 
 
 
101.CAL
 
XBRL Taxonomy Extension Calculation Linkbase Document
 
 
 
101.DEF
 
XBRL Taxonomy Extension Definition Linkbase Document
 
 
 
101.LAB
 
XBRL Taxonomy Extension Label Linkbase Document
 
 
 
101.PRE
 
XBRL Taxonomy Extension Presentation Linkbase Document
 
# Previously filed.
+ Indicates management contract or compensatory plan.
 
 
S IGNATURES
 
Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized, as of March 22, 2018.
 
 
ACM RESEARCH, INC.
 
 
 
 
 
 
By:  
/s/ David H. Wang
 
 
 
David H. Wang  
 
 
 
Chief Executive Officer and President  
 
 
 
Pursuant to the requirements of the Securities Exchange Act of 1934, this report has been signed below by the following persons in the capacities indicated on March 22, 2018:
 
Signature
 
Title
 
 
 
/s/ David H. Wang
 
Chief Executive Officer, President and Director
David H. Wang
 
( Principal Executive Officer )
 
 
 
/s/ Lisa Feng
 
Interim Chief Financial Officer, Chief Accounting Officer and Treasurer
Lisa Feng
 
(Principal Accounting Officer )
 
 
 
/s/ Haiping Dun
 
Director
Haiping Dun
 

 
 
 
/s/ Tracy Liu
 
Director
Tracy Liu
 

 
 
 
/s/ Yinan Xiang
 
Director
 Yinan Xiang
 
 
 
 
 
112
 
 
 
Exhibit 21.01
 
ACM RESEARCH, INC.
 
LIST OF SUBSIDIARIES
 
Name of Subsidiary
 
Jurisdiction of Incorporation or Organization
ACM Research (Shanghai), Inc.
 
People’s Republic of China
CleanChip Technologies Limited
 
Hong Kong
ACM Research (Wuxi), Inc.
 
People’s Republic of China
ACM Research Korea CO., LTD.
 
Republic of Korea
 
 
 
Exhibit 23.01
 
CONSENT OF INDEPENDENT REGISTERED PUBLIC ACCOUNTING FIRM
 
ACM Research, Inc.
42307 Osgood Road, Suite I
Fremont, California 94539
United States
 
We hereby consent to the incorporation by reference in the Registration Statement on Form S-8 (File No. 333-222702) of ACM Research, Inc. (the “Company”) of our report dated March 22, 2018, relating to the Company’s consolidated financial statements, which appears in this Annual Report on Form 10-K for the year ended December 31, 2017.
 
 
 
/s/ BDO China Shu Lun Pan Certified Public Accountants LLP
 
Shenzhen, the People’s Republic of China
March 22, 2018
 
 
 
 
  Exhibit 31.01
 
CERTIFICATION OF PRINCIPAL EXECUTIVE OFFICER
PURSUANT TO SECTION 302 OF THE SARBANES-OXLEY ACT OF 2002
 
I, David H. Wang, certify that:
 
1. 
I have reviewed this Annual Report on Form 10-K of ACM Research, Inc.;
 
2. 
Based on my knowledge, this report does not contain any untrue statement of a material fact or omit to state a material fact necessary to make the statements made, in light of the circumstances under which such statements were made, not misleading with respect to the period covered by this annual report;
 
3. 
Based on my knowledge, the financial statements, and other financial information included in this report, fairly present in all material respects the financial condition, results of operations and cash flows of the registrant as of, and for, the periods presented in this report;
 
4. 
The registrant’s other certifying officer and I are responsible for establishing and maintaining disclosure controls and procedures (as defined in Exchange Act Rules 13a–15(e) and 15d–15(e)) for the registrant and have:
 
(a) 
designed such disclosure controls and procedures, or caused such disclosure controls and procedures to be designed under our supervision, to ensure that material information relating to the registrant, including its consolidated subsidiaries, is made known to us by others within those entities, particularly during the period in which this report is being prepared;
 
(b) 
evaluated the effectiveness of the registrant’s disclosure controls and procedures and presented in this report our conclusions about the effectiveness of the disclosure controls and procedures, as of the end of the period covered by this report based on such evaluation; and
 
(c) 
disclosed in this report any change in the registrant’s internal control over financial reporting that occurred during the registrant’s most recent fiscal quarter (the registrant’s fourth fiscal quarter in the case of this annual report) that has materially affected, or is reasonably likely to materially affect, the registrant’s internal control over financial reporting; and
 
5. 
The registrant’s other certifying officer and I have disclosed, based on our most recent evaluation of internal control over financial reporting, to the registrant’s auditors and the audit committee of registrant’s board of directors (or persons performing the equivalent functions):
 
(a) 
all significant deficiencies and material weaknesses in the design or operation of internal control over financial reporting which are reasonably likely to adversely affect the registrant’s ability to record, process, summarize and report financial information; and
 
(b) 
any fraud, whether or not material, that involves management or other employees who have a significant role in the registrant’s internal controls.
 
Dated: March 22, 2018
By:
/s/ David H. Wang
 
 
David H. Wang
Chief Executive Officer
( Principal Executive Officer )
 
 
  Exhibit 31.02
 
CERTIFICATION OF PRINCIPAL FINANCIAL OFFICER
PURSUANT TO SECTION 302 OF THE SARBANES-OXLEY ACT OF 2002
 
I, Lisa Feng, certify that:
 
1. 
I have reviewed this annual report on Form 10-K of ACM Research, Inc.;
 
2. 
Based on my knowledge, this report does not contain any untrue statement of a material fact or omit to state a material fact necessary to make the statements made, in light of the circumstances under which such statements were made, not misleading with respect to the period covered by this annual report;
 
3. 
Based on my knowledge, the financial statements, and other financial information included in this report, fairly present in all material respects the financial condition, results of operations and cash flows of the registrant as of, and for, the periods presented in this report;
 
4. 
The registrant’s other certifying officer and I are responsible for establishing and maintaining disclosure controls and procedures (as defined in Exchange Act Rules 13a–15(e) and 15d–15(e)) for the registrant and have:
 
(a) 
designed such disclosure controls and procedures, or caused such disclosure controls and procedures to be designed under our supervision, to ensure that material information relating to the registrant, including its consolidated subsidiaries, is made known to us by others within those entities, particularly during the period in which this report is being prepared;
 
(b) 
evaluated the effectiveness of the registrant’s disclosure controls and procedures and presented in this report our conclusions about the effectiveness of the disclosure controls and procedures, as of the end of the period covered by this report based on such evaluation; and
 
(c) 
disclosed in this report any change in the registrant’s internal control over financial reporting that occurred during the registrant’s most recent fiscal quarter (the registrant’s fourth fiscal quarter in the case of this annual report) that has materially affected, or is reasonably likely to materially affect, the registrant’s internal control over financial reporting; and
 
5. 
The registrant’s other certifying officer and I have disclosed, based on our most recent evaluation of internal control over financial reporting, to the registrant’s auditors and the audit committee of registrant’s board of directors (or persons performing the equivalent functions):
 
(a) 
all significant deficiencies and material weaknesses in the design or operation of internal control over financial reporting which are reasonably likely to adversely affect the registrant’s ability to record, process, summarize and report financial information; and
 
(b) 
any fraud, whether or not material, that involves management or other employees who have a significant role in the registrant’s internal controls.
 
Dated: March 22, 2018
By:
/s/ Lisa Feng
 
 
Lisa Feng
Interim Chief Financial Officer
( Principal Financial Officer )
 
 
  Exhibit 32.01
 
CERTIFICATION PURSUANT TO 18 U.S.C. 1350,
AS ADOPTED PURSUANT TO SECTION 906 OF THE SARBANES-OXLEY ACT OF 2002
 
In connection with the Annual Report on Form 10-K of ACM Research, Inc. for the year ended December 31, 2017, as filed with the Securities and Exchange Commission on the date hereof (the “Report”), each of the undersigned certifies, pursuant to 18 U.S.C. Section 1350, as adopted pursuant to Section 906 of the Sarbanes-Oxley Act of 2002, that, to his or her knowledge on the date hereof:
 
1. 
The Report fully complies with the requirements of Section 13(a) or 15(d) of the Securities Exchange Act of 1934.
 
2. 
The information contained in the Report fairly presents, in all material respects, the financial condition and results of operations of ACM Research, Inc.
 
Dated: March 22, 2018
By:
/s/ David H. Wang
 
 
David H. Wang
Chief Executive Officer
( Principal Executive Officer )
 
 
 
Dated: March 22, 2018
By:
/s/ Lisa Feng
 
 
Lisa Feng
Interim Chief Financial Officer
( Principal Financial Office r)